Skip to main content

FDTD Model for Crosstalk Analysis of CMOS Gate-Driven Coupled Copper Interconnects

  • Chapter
  • First Online:
Crosstalk in Modern On-Chip Interconnects

Part of the book series: SpringerBriefs in Applied Sciences and Technology ((BRIEFSAPPLSCIENCES))

  • 592 Accesses

Abstract

This chapter deals with the modeling of Cu-based on-chip interconnects. The model considers the nonlinear effects of CMOS driver as well as the transmission line effects of interconnect line. The CMOS driver is represented by the nth power law model and the coupled-multiple interconnect lines are modeled by the FDTD technique. The model is validated by the industry standard HSPICE simulator. It is observed that the results of the proposed model closely match with that of HSPICE simulations. Encouragingly, the proposed model is highly time efficient than the HSPICE.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal K, Sylvester D, Blaauw D (2006) Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans Comput Aided Des Integr Circ Syst 25(5):892–901

    Article  Google Scholar 

  2. Edelstein D, Heidenreich J, Goldblatt R, Cote W, Uzoh C, Lustig N, Roper P, McDevitt T, Motsiff W, Simon A, Dukovic J, Wachnik R, Rathore H, Schulz R, Su L, Luce S, Slattery J (1997) Full copper wiring in a sub-0.25 µm CMOS ULSI technology. In: Proceedings of International Electron Devices Meeting Techincal Digest, USA, pp 773–776

    Google Scholar 

  3. Davis JA, Meindl JD (2000) Compact distributed RLC models, part II: coupled line transient expressions and peak crosstalk in multilevel networks. IEEE Trans Electron Devices 47(11):2078–2087

    Article  Google Scholar 

  4. Rabaey JM, Chandrakasan A, Nikolic B (2003) Digital integrated circuits: a design perspective, 2nd edn. Prentice-Hall

    Google Scholar 

  5. Kaushik BK, Sarkar S (2008) Crosstalk analysis for a CMOS-gate-driven coupled interconnects. IEEE Trans Comput Aided Des Integr Circ Syst 27(6):1150–1154

    Article  Google Scholar 

  6. Paul CR (1994) Incorporation of terminal constraints in the FDTD analysis of transmission lines. IEEE Trans Electromagn Compat 36(2):85–91

    Article  Google Scholar 

  7. Orlandi A, Paul CR (1996) FDTD analysis of lossy, multiconductor transmission lines terminated in arbitrary loads. IEEE Trans Electromagn Compat 38(3):388–399

    Article  Google Scholar 

  8. Schutt-ainé JE (2001) Latency insertion method (LIM) for the fast transient simulation of large networks. IEEE Trans Circ Syst I Fundam Theory Appl 48(1):81–89

    Article  Google Scholar 

  9. Kurobe H, Sekine T, Asai H (2012) Alternating direction explicit-latency insertion method (ADE-LIM) for the fast transient simulation of transmission lines. IEEE Trans Compon Packag Manuf Technol 2(5):783–792

    Article  Google Scholar 

  10. Li XC, Ma JF, Swaminathan M (2011) Transient analysis of CMOS gate driven RLGC interconnects based on FDTD. IEEE Trans Comput Aided Des Integr Circ Syst 30(4):574–583

    Article  Google Scholar 

  11. Sakurai T, Newton AR (1991) A simple MOSFET model for circuit analysis. IEEE Trans Electron Devices 38(4):887–894

    Article  Google Scholar 

  12. International Technology Roadmap for Semiconductors (2013) http://public.itrs.net

  13. Kaushik BK, Sarkar S, Agarwal RP, Joshi RC (2010) An analytical approach to dynamic crosstalk in coupled interconnects. Microelectron J 41(2):85–92

    Article  Google Scholar 

  14. Krishnamurthy R, Sharma GK (2013) An area efficient wide range on-chip delay measurement architecture. In: Proceedings of Springer VLSI Design and Test (VDAT 2013), Jaipur, pp 49–58

    Google Scholar 

  15. Kumar VR, Kaushik BK, Patnaik A (2014) An accurate FDTD model for crosstalk analysis of CMOS-gate-driven coupled RLC interconnects. IEEE Trans Electromagn Compat 56(5):1185–1193

    Article  Google Scholar 

  16. IEEE Standard P1597 (2008) Standard for validation of computational electromagnetics computer modeling and simulation—Part 1, 2

    Google Scholar 

  17. Duffy AP, Martin AJM, Orlandi A, Antonini G, Benson TM, Woolfson MS (2006) Feature selective validation (FSV) for validation of computational electromagnetics (CEM). Part I—the FSV method. IEEE Trans Electromagn Compat 48(3):449–459

    Article  Google Scholar 

  18. Orlandi A, Duffy AP, Archambeault B, Antonini G, Coleby DE, Connor S (2006) Feature selective validation (FSV) for validation of computational electromagnetics (CEM). Part II—assessment of FSV performance. IEEE Stand 48(3):460–467

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Brajesh Kumar Kaushik .

Rights and permissions

Reprints and permissions

Copyright information

© 2016 The Author(s)

About this chapter

Cite this chapter

Kaushik, B.K., Kumar, V.R., Patnaik, A. (2016). FDTD Model for Crosstalk Analysis of CMOS Gate-Driven Coupled Copper Interconnects. In: Crosstalk in Modern On-Chip Interconnects. SpringerBriefs in Applied Sciences and Technology. Springer, Singapore. https://doi.org/10.1007/978-981-10-0800-9_3

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-0800-9_3

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-0799-6

  • Online ISBN: 978-981-10-0800-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics