Skip to main content

Hardware/Software Co-Synthesis Algorithms

  • Chapter
System-Level Synthesis

Part of the book series: NATO Science Series ((NSSE,volume 357))

Abstract

This chapter surveys methodologies and algorithms for hardware-software co-synthesis. While much remains to be learned about co-synthesis, reserchers in the field have made a great deal of progress in a short period of time. Although it is still premature to declare an authoritative taxonomy of co-synthesis models and methods, we can now see commonalities and contrasts in formal models and algorithms.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Jay K. Adams and Donald E. Thomas. Multiple-process behavioral synthesis for mixed hardware-software systems. In Proceedings, 8 th International Symposium on System Synthesis, pages 10–15. IEEE Computer Society Press, 1995.

    Chapter  Google Scholar 

  2. Samir Agrawal and Rajesh K. Gupta. Data-flow assisted behavioral partitioning for embedded systems. In Proceedings, 1997 Design Automation Conference, pages 709–712. ACM Press, 1997.

    Google Scholar 

  3. S. Antoniazzi, A. Balboni, W. Fornaciari, and D. Sciuto. A methodology for control-dominated systems codesign. In Third International Workshop on Hardware-Software Codesign, pages 2–9. IEEE Computer Society Press, 1994.

    Chapter  Google Scholar 

  4. F. Balarin, M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli, E. Sentovich, K. Suzuki, and B. Tabarra. Hardware-Software Co-Design of Embedded Systems: The Polis Approach. Kluwer Academic Publishers, Norwell, MA, 1997.

    Book  MATH  Google Scholar 

  5. F. Balarin, M. Chiodo, A. Jurecska, L. Lavagno, B. Tabbara, and A. Sangiovanni-Vincentelli. Automatic generation of a real-time operating system for embedded systems. Presented at the Fifth International Workshop on Hardware/Software Codesign, March 1997.

    Google Scholar 

  6. Felice Balarin and Alberto Sangiovanni-Vincentelli. Schedule validation for embedded reactive real-time systems. In Proceedings, 1997 Design Automation Conference, pages 52–57. ACM Press, 1997.

    Google Scholar 

  7. A. Balboni, W. Fornaciari, and D. Sciuto. Partitioning and exploration strategies in the TOSCA design flow. In Proceedings, Fourth International Workshop on Hardware/Software Codesign, pages 62–69. IEEE Computer Society Press, 1996.

    Chapter  Google Scholar 

  8. Massimiliano Chiodo, Daniel Engels, Paolo Giusto, Harry Hsieh, Atilla Jurecska, Luciano Lavagno, Kei Suzuki, and Alberto Sangiovanni-Vincentelli. A case study in computer-aided co-design of embedded controllers. Design Automation for Embedded Systems, 1(1–2):51–67, January 1996.

    Article  Google Scholar 

  9. Pai Chou, Elizabeth A. Walkup, and Gaetano Borriello. Scheduling for reactive real-time systems. IEEE Micro, 14(4):37–47, August 1994.

    Article  Google Scholar 

  10. J. G. D’Ambrosio and X. Hu. Configuration-level hardware/software partitioning for real-time embedded systems. In Third International Workshop on Hardware-Software Codesign, pages 34–41. IEEE Computer Society Press, 1994.

    Chapter  Google Scholar 

  11. Jean-Marc Daveau, Tarek Ben Ismail, and Ahmed Amine Jerraya. Synthesis of system-level communication by an allocation-based approach. In Proceedings, 8 th International Symposium on System Synthesis, pages 150–155. IEEE Computer Society Press, 1995.

    Chapter  Google Scholar 

  12. W. Fornaciari, P. Gubian, D. Sciuto, and C. Silvano. Power estimation of embedded systems: a hardware/software codesign approach. IEEE Transactions on VLSI Systems, 6(2):266–275, June 1998.

    Article  Google Scholar 

  13. Rajesh K. Gupta and Giovanni De Micheli. Specification and analysis of timing constraints for embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 16(3):240–256, March 1997.

    Article  Google Scholar 

  14. Wolfram Hardt and Wolfgang Rosenstiel. Speed-up estimation for HW/SW-systems. In Proceedings, Fourth International Workshop on Hardware/Software Codesign, pages 36–43. IEEE Computer Society Press, 1996.

    Chapter  Google Scholar 

  15. Jorg Henkel and Rolf Ernst. The interplay of run-time estimation and granularity in HW/SW partitioning. In Proceedings, Fourth International Workshop on Hardware/Software Codesign, pages 52–58. IEEE Computer Society Press, 1996.

    Chapter  Google Scholar 

  16. Jorg Henkel, Rolf Ernst, Ullrich Holtmann, and Thomas Benner. Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. In Proceedings, ICCAD-94, pages 96–100. IEEE Computer Society Press, 1994.

    Google Scholar 

  17. Junwei Hou and Wayne Wolf. Process partitioning for distributed embedded systems. In Proceedings, Fourth International Workshop on Hardware/Software Codesign, pages 70–75. IEEE Computer Society Press, 1996.

    Google Scholar 

  18. Harry Hsieh, Luciano Lavagno, Claudio Passerone, Claudio Sansoè, and Alberto Sangiovanni-Vincentelli. Modeling micro-controller peripherals for high-level co-simulation and synthesis. In Fifth International Workshop on Hardware/Software Codesign, pages 127–130. IEEE Computer Society Press, 1997.

    Chapter  Google Scholar 

  19. Xiaobo(Sharon) Hu and Joseph G. D’Ambrosio. Hardware/software communication and system integration for embedded architectures. Design Automation for Embedded Systems, 2(3/4):339–358, May 1997.

    Article  Google Scholar 

  20. Tarek Ben Ismail, Mohamed Abid, and Ahmed Jerraya. COSMOS: a codesign approach for communicating systems. In Third International Workshop on Hardware-Software Codesign, pages 17–24. IEEE Computer Society Press, 1994.

    Chapter  Google Scholar 

  21. Asawaree Kalavade and Edward A. Lee. The extended partitioning problem: hardware/software mapping, scheduling, and implementatino-bin selection. Design Automation for Embedded Systems, 2(2):125–164, March 1997.

    Article  Google Scholar 

  22. Darko Kirovski and Miodrag Potkonjak. System-level synthesis of low-power hard real-time systems. In Proceedings, 1997 Design Automation Conference, pages 697–702. ACM Press, 1997.

    Google Scholar 

  23. David Ku and Giovanni de Micheli. High-level Synthesis of ASICs under Timing and Synchronization Constraints. Kluwer Academic Publishers, Boston, 1992.

    Google Scholar 

  24. L. Lavagno, J. Cortadella, and A. Sangiovanni-Vincentelli. Embedded code optimization via common control structure detection. Presented at the Fifth International Workshop on Hardware/Software Codesign, March 1997.

    Google Scholar 

  25. Edward Ashford Lee and David G. Messerschmitt. Statis scheduling of synchronous data flow programs for digital signal processing. IEEE Transactions on Computers, C-36(l):24–35, January 1987.

    Article  MATH  Google Scholar 

  26. John Lehoczky, Liu Sha, and Ye Ding. The rate monotonie scheduling algorithm: exact characterization and average case behavior. In Proceedings, Real-Time Systems Symposium, pages 166–171. IEEE Computer Society Press, 1989.

    Google Scholar 

  27. Y. Li and J. Henkel. A framework for estimating and minimizing energy dissipation of embedded HW/SW systems. In Proceedings, 1998 Design Automation Conference, pages 188–183. ACM Press, 1998.

    Google Scholar 

  28. Yanbing Li and Wayne Wolf. A task-level hierarchical memory model for system synthesis of multiprocessors. In Proceedings, 34 th Design Automation Conference, pages 153–156. ACM Press, 1997.

    Google Scholar 

  29. Yanbing Li and Wayne Wolf. Hardware/software co-synthesis with memory hierarchies. In Proceedings, ICC AD’98. IEEE Computer Society Press, 1998.

    Google Scholar 

  30. Bill Lin, Steven Vercauteren, and Hugo De Man. Embedded architecture co-synthesis and system integration. In Proceedings, Fourth International Workshop on Hardware/Software Codesign, pages 2–9. IEEE Computer Society Press, 1996.

    Chapter  Google Scholar 

  31. C. L. Liu and James W. Layland. Scheduling algorithms for multiprogramming in a hard-real-time environment. Journal of the ACM, 20(1):46–61, January 1973.

    Article  MathSciNet  MATH  Google Scholar 

  32. Ross B. Ortega and Gaetano Borriello. Communication synthesis for embedded systems with global considerations. In Fifth International Workshop on Hardware/Software Codesign, pages 69–73. IEEE Computer Society Press, 1997.

    Chapter  Google Scholar 

  33. G. A. Paleologo, L. Benini, A. Bogiolo, and G De Micheli. Policy optimization for dynamic power management. In Proceedings, 1998 Design Automation Conference, pages 182–187. ACM Press, 1998.

    Google Scholar 

  34. Shiv Prakash and Alice C. Parker. SOS: Synthesis of application-specific heterogeneous multiprocessor systems. Journal of Parallel and Distributed Computing, 16:338–351, 1992.

    Article  MATH  Google Scholar 

  35. David L. Rhodes and Wayne Wolf. Allocation and data arrival design of hard real-time systems. In Proceedings, ICCD-97. IEEE Computer Society Press, 1997.

    Google Scholar 

  36. James A. Rowson and Alberto Sangiovanni-Vincentelli. Interface-based design. In Proceedings, 1997 Design Automation Conference, pages 178–183. ACM Press, 1997.

    Google Scholar 

  37. Youngsoo Shin and Kiyoung Choi. Software synthesis through task decomposition by dependency analysis. In Digest of Papers, ICC AD’96, pages 98–102. IEEE Computer Society Press, 1996.

    Google Scholar 

  38. Mani B. Srivastava, Trevor I. Blumenau, and Robert W. Brodersen. Design and implementation of a robot control system using a unified hardware-software rapid-prototyping framework. In Proceedings, ICCD’92. IEEE Computer Society Press, 1992.

    Google Scholar 

  39. John A. Stankovic, Marco Spuri, Marco Di Natale, and Giorgio C. Buttazzo. Implications of classical scheduling results for real-time systems. IEEE Computer, 28(6): 16–25, June 1995.

    Article  Google Scholar 

  40. Steven Vercauteren and Bill Lin. Hardware/software communication and system integration for embedded architectures. Design Automation for Embedded Systems, 2(3/4):359–382, May 1997.

    Article  Google Scholar 

  41. D. Verkest, K. Van Rompaey, I. Bolshens, and H. De Man. CoWare—a design environment for heterogeneous hardware/software systems. Design Automation for Embedded Systems, 1(4):357–386, October 1996.

    Article  Google Scholar 

  42. Wayne Wolf. Hardware-software co-design of embedded systems. Proceedings of the IEEE, 82(7):967–989, July 1994.

    Article  Google Scholar 

  43. Wayne Wolf. Object-oriented co-synthesis of distributed embedded systems. ACM Transactions on Design Automation of Electronic Systems, 1(3), July 1996.

    Google Scholar 

  44. Wayne Wolf. An architectural co-synthesis algorithm for distributed, embedded computing systems. IEEE Transactions on VLSI Systems, 5(2):218–229, June 1997.

    Article  Google Scholar 

  45. Ti-Yen Yen and Wayne Wolf. Communication synthesis for distributed embedded systems. In Proceedings, ICCAD-95, pages 64–69. IEEE Computer Society Press, 1995.

    Google Scholar 

  46. Ti-Yen Yen and Wayne Wolf. Performance estimation for real-time distributed embedded systems. In Proceedings, ICCD’95, pages 64–69. IEEE Computer Society Press, 1995.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1999 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Wolf, W. (1999). Hardware/Software Co-Synthesis Algorithms. In: Jerraya, A.A., Mermet, J. (eds) System-Level Synthesis. NATO Science Series, vol 357. Springer, Dordrecht. https://doi.org/10.1007/978-94-011-4698-2_6

Download citation

  • DOI: https://doi.org/10.1007/978-94-011-4698-2_6

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-0-7923-5749-0

  • Online ISBN: 978-94-011-4698-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics