Skip to main content

Abstract

Following the discussion on reconfigurable systems, this chapter is dedicated to show several and different examples of architectures that have been used both in the academy and in the industry. They are presented according to the classification studied in the previous chapter. Also, a brief discussion on recent dataflow machines is done, since their structure is very similar to some of the reviewed reconfigurable systems. After that, one can find comparative tables summarizing the previously studied information. Then, an overview of the characteristics of the employed benchmark sets shows that all those proposed reconfigurable architectures fail on the very same and important aspect: they cannot cope with a large range of different applications in the same device, nor can they sustain binary compatibility. Therefore, it is made clear that some sort of dynamic optimization is necessary.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Arnold, J.M., et al.: The splash 2 processor and applications. In: International Conference on Computer Design. CS Press, München (1993)

    Google Scholar 

  2. Athanas, P.M., Silverman, H.F.: Processor reconfiguration through instruction-set metamorphosis. Computer 26(3), 11–18 (1993). doi:10.1109/2.204677

    Article  Google Scholar 

  3. Babb, J., Frank, M., Lee, V., Waingold, E., Barua, R., Taylor, M., Kim, J., Devabhaktuni, S., Agarwal, A.: The raw benchmark suite: computation structures for general purpose computing. In: FCCM ’97: Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines, p. 134. IEEE Computer Society, Los Alamitos (1997)

    Google Scholar 

  4. Barat, F., Lauwereins, R.: Reconfigurable instruction set processors: A survey. In: RSP ’00: Proceedings of the 11th IEEE International Workshop on Rapid System Prototyping (RSP 2000), p. 168. IEEE Computer Society, Los Alamitos (2000)

    Google Scholar 

  5. Barua, R., Lee, W., Amarasinghe, S., Agarwal, A.: Maps: A compiler-managed memory system for raw machines. In: Proceedings of the 26th International Symposium on Computer Architecture, pp. 4–15 (1998)

    Google Scholar 

  6. Barua, R., Lee, W., Amarasinghe, S., Agarwal, A.: Memory bank disambiguation using modulo unrolling for raw machines. In: Proceedings of the ACM/IEEE Fifth Int’l Conference on High Performance Computing (HIPC) (1998)

    Google Scholar 

  7. Baumgarte, V., Ehlers, G., May, F., Nückel, A., Vorbach, M., Weinhardt, M.: Pact xpp—a self-reconfigurable data processing architecture. J. Supercomput. 26(2), 167–184 (2003). doi:10.1023/A:1024499601571

    Article  MATH  Google Scholar 

  8. Becker, J., Pionteck, T., Glesner, M.: DReAM: ADynamicallyReconfigurable architecture for future mobile communication applications. In: Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing. Lecture Notes in Computer Science, vol. 1896, pp. 312–321. Springer, Berlin/Heidelberg (2000). http://www.springerlink.com/content/3kvjdm6qxf9k7xt5/

    Chapter  Google Scholar 

  9. Callahan, T., Hauser, J., Wawrzynek, J.: The Garp architecture and C compiler. Computer 33(4), 62–69 (2000). doi:10.1109/2.839323

    Article  Google Scholar 

  10. Cardoso, J.M., Weinhardt, M.: Xpp-vc: A c compiler with temporal partitioning for the pact-xpp architecture. In: Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream. Lecture Notes in Computer Science, vol. 2438, pp. 207–226. Springer, Berlin/Heidelberg (2002). http://www.springerlink.com/content/2rwnvdfwv79wev9u/

    Google Scholar 

  11. Cardoso, J.M.P., Weinhardt, M.: Fast and guaranteed c compilation onto the PACT-XPP reconfigurable computing platform. In: FCCM ’02: Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, p. 291. IEEE Computer Society, Los Alamitos (2002)

    Chapter  Google Scholar 

  12. Carrillo, J.E., Chow, P.: The effect of reconfigurable units in superscalar processors. In: FPGA ’01: Proceedings of the 2001 ACM/SIGDA Ninth International Symposium on Field Programmable Gate Arrays, pp. 141–150. ACM, New York (2001). doi:10.1145/360276.360328

    Chapter  Google Scholar 

  13. Chang, P.P., Mahlke, S.A., Chen, W.Y., Warter, N.J., Hwu, W.M.W.: Impact: an architectural framework for multiple-instruction-issue processors. SIGARCH Comput. Archit. News 19(3), 266–275 (1991). doi:10.1145/115953.115979

    Article  Google Scholar 

  14. Chou, Y., Pillai, P., Schmit, H., Shen, J.P.: Piperench implementation of the instruction path coprocessor. In: MICRO 33: Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture, pp. 147–158. ACM, New York (2000). doi:10.1145/360128.360144

    Chapter  Google Scholar 

  15. Cronquist, D.C., Fisher, C., Figueroa, M., Franklin, P., Ebeling, C.: Architecture design of reconfigurable pipelined datapaths. In: ARVLSI ’99: Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI, p. 23. IEEE Computer Society, Los Alamitos (1999)

    Chapter  Google Scholar 

  16. Cronquist, D.C., Franklin, P., Berg, S.G., Ebeling, C.: Specifying and compiling applications for rapid. In: FCCM ’98: Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, p. 116. IEEE Computer Society, Los Alamitos (1998)

    Chapter  Google Scholar 

  17. David, H.S., Whelihan, D., Tsai, A., Moe, M., Levine, B., Taylor, R.R.: Piperench: A virtualized programmable datapath in 0.18 micron technology. In: Proc. of IEEE Custom Integrated Circuits Conference, pp. 63–66 (2002)

    Google Scholar 

  18. DeHon, A.: Dpga utilization and application. In: FPGA ’96: Proceedings of the 1996 ACM Fourth International Symposium on Field-Programmable Gate Arrays, pp. 115–121. ACM, New York (1996). doi:10.1145/228370.228387

    Chapter  Google Scholar 

  19. Ebeling, C., Cronquist, D.C., Franklin, P.: Rapid—reconfigurable pipelined datapath. In: FPL ’96: Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers, pp. 126–135. Springer, London (1996)

    Google Scholar 

  20. Ebeling, C., Fisher, C., Xing, G., Shen, M., Liu, H.: Implementing an ofdm receiver on the rapid reconfigurable architecture. IEEE Trans. Comput. 53(11), 1436–1448 (2004). doi:10.1109/TC.2004.98

    Article  Google Scholar 

  21. Gebhart, M., Maher, B.A., Coons, K.E., Diamond, J., Gratz, P., Marino, M., Ranganathan, N., Robatmili, B., Smith, A., Burrill, J., Keckler, S.W., Burger, D., McKinley, K.S.: An evaluation of the trips computer system. In: ASPLOS ’09: Proceeding of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 1–12. ACM, New York (2009). doi:10.1145/1508244.1508246

    Chapter  Google Scholar 

  22. Goldstein, S.C., Schmit, H., Budiu, M., Cadambi, S., Moe, M., Taylor, R.R.: Piperench: A reconfigurable architecture and compiler. Computer 33(4), 70–77 (2000). doi:10.1109/2.839324

    Article  Google Scholar 

  23. Goldstein, S.C., Schmit, H., Moe, M., Budiu, M., Cadambi, S., Taylor, R.R., Laufer, R.: Piperench: a co/processor for streaming multimedia acceleration. In: ISCA ’99: Proceedings of the 26th Annual International Symposium on Computer Architecture, pp. 28–39. IEEE Computer Society, Los Alamitos (1999). doi:10.1145/300979.300982

    Google Scholar 

  24. Hartenstein, R.: Coarse grain reconfigurable architecture (embedded tutorial). In: ASP-DAC ’01: Proceedings of the 2001 Conference on Asia South Pacific Design Automation, pp. 564–570. ACM, New York (2001). doi:10.1145/370155.370535

    Chapter  Google Scholar 

  25. Hartenstein, R., Herz, M., Hoffmann, T., Nageldinger, U.: KressArray xplorer: a new cad environment to optimize reconfigurable datapath array. In: ASP-DAC ’00: Proceedings of the 2000 Asia and South Pacific Design Automation Conference, pp. 163–168. ACM, New York (2000). doi:10.1145/368434.368597

    Chapter  Google Scholar 

  26. Hauck, S., Fry, T.W., Hosler, M.M., Kao, J.P.: The chimaera reconfigurable functional unit. In: FCCM ’97: Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines, p. 87. IEEE Computer Society, Los Alamitos (1997)

    Google Scholar 

  27. Hauck, S., Fry, T.W., Hosler, M.M., Kao, J.P.: The chimaera reconfigurable functional unit. IEEE Trans. Very Large Scale Integr. Syst. 12(2), 206–217 (2004). doi:10.1109/TVLSI.2003.821545

    Article  Google Scholar 

  28. Hauser, J.R., Wawrzynek, J.: Garp: a mips processor with a reconfigurable coprocessor. In: FCCM ’97: Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines, p. 12. IEEE Computer Society, Los Alamitos (1997)

    Google Scholar 

  29. Heysters, P., Smit, G., Molenkamp, E.: A flexible and energy-efficient coarse-grained reconfigurable architecture for mobile systems. J. Supercomput. 26(3), 283–308 (2003). doi:10.1023/A:1025699015398

    Article  Google Scholar 

  30. Jr, R.B., Athanas, P.M., Musgrove, M.D.: Colt: An experiment in wormhole run-time reconfiguration. In: High-Speed Computing, Digital Signal Processing, and Filtering Using Reconfigurable Logic, pp. 187–194 (1996)

    Google Scholar 

  31. Kastrup, B., Bink, A., Hoogerbrugge, J.: Concise: A compiler-driven cpld-based instruction set accelerator. In: FCCM ’99: Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, p. 92. IEEE Computer Society, Los Alamitos (1999)

    Chapter  Google Scholar 

  32. Kuzmanov, G., Gaydadjiev, G., Vassiliadis, S.: The Molen processor prototype. In: FCCM ’04: Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 296–299. IEEE Computer Society, Los Alamitos (2004)

    Chapter  Google Scholar 

  33. Lee, C., Potkonjak, M., Mangione-Smith, W.H.: MediaBench: a tool for evaluating and synthesizing multimedia and communications systems. In: MICRO 30: Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture, pp. 330–335. IEEE Computer Society, Los Alamitos (1997)

    Google Scholar 

  34. Lee, M.H., Singh, H., Lu, G., Bagherzadeh, N., Kurdahi, F.J., Filho, E.M.C., Alves, V.C.: Design and implementation of the morphosys reconfigurable computing processor. J. VLSI Signal Process. Syst. 24(2/3), 147–164 (2000). doi:10.1023/A:1008189221436

    Article  Google Scholar 

  35. Lee, W., Barua, R., Frank, M., Srikrishna, D., Babb, J., Sarkar, V., Amarasinghe, S.: Space-time scheduling of instruction-level parallelism on a raw machine. SIGOPS Oper. Syst. Rev. 32(5), 46–57 (1998). doi:10.1145/384265.291018

    Article  Google Scholar 

  36. Lee, W.A., Agarwal, L., Lee, T., Smith, A., Lam, E., Athanas, P., Ghosh, S.: Prism-ii compiler and architecture (1993)

    Google Scholar 

  37. Lipasti, M.H., Wilkerson, C.B., Shen, J.P.: Value locality and load value prediction. In: ASPLOS-VII: Proceedings of the Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 138–147. ACM, New York (1996). doi:10.1145/237090.237173

    Chapter  Google Scholar 

  38. Lodi, A., Toma, M., Campi, F., Cappelli, A., Guerrieri, R.: A vliw processor with reconfigurable instruction set for embedded applications. IEEE J. Solid State Circuits 38(11), 1876–1886 (2003)

    Article  Google Scholar 

  39. Maheswaran, K., Akella, V.: Hazard-free implementation of the self-timed cell set in a xilinx fpga. Tech. Rep., University of California (1994)

    Google Scholar 

  40. Marshall, A., Stansfield, T., Kostarnov, I., Vuillemin, J., Hutchings, B.: A reconfigurable arithmetic array for multimedia applications. In: FPGA ’99: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, pp. 135–143. ACM, New York (1999). doi:10.1145/296399.296444

    Chapter  Google Scholar 

  41. Mei, B., Veredas, F.J., Masschelein, B.: Mapping an h.264/avc decoder onto the address reconfigurable architecture. In: International Conference on Field Programmable Logic and Applications, pp. 622–625 (2005). doi:10.1109/FPL.2005.1515799

  42. Mei, B., Vernalde, S., Verkest, D., De Man, H., Lauwerein, R.: ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In: Field-Programmable Logic and Applications. Lecture Notes in Computer Science, vol. 2778, pp. 61–70. Springer, Berlin/Heidelberg (2003). doi:10.1007/b12007. http://www.springerlink.com/content/03yt3xeh60r8971k/

    Google Scholar 

  43. Mei, B., Vernalde, S., Verkest, D., De Man, H., Lauwereins, R., Mei, B., Vernalde, S., Verkest, D., De, H., Lauwerein, R.: Dresc: A retargetable compiler for coarse-grained reconfigurable architectures (2002)

    Google Scholar 

  44. Mirsky, E., DeHon, A.: Matrix: A reconfigurable computing architecture with configurable instruction distribution and deployable resources. In: IEEE Symposium on FPGAs for Custom Computing Machines, pp. 157–166 (1996)

    Google Scholar 

  45. Miyamori, T., Olukotun, K.: Remarc (abstract): reconfigurable multimedia array coprocessor. In: FPGA ’98: Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, p. 261. ACM, New York (1998). doi:10.1145/275107.275164

    Chapter  Google Scholar 

  46. Miyamori, T., Olukotun, K.: Remarc: Reconfigurable multimedia array coprocessor. In: IEICE Transactions on Information and Systems E82-D, pp. 389–397 (1998)

    Google Scholar 

  47. Panainte, E.M., Bertels, K., Vassiliadis, S.: The Molen compiler for reconfigurable processors. ACM Trans. Embed. Comput. Syst. 6(1), 6 (2007). doi:10.1145/1210268.1210274

    Article  Google Scholar 

  48. Rupp, C.R., Landguth, M., Garverick, T., Gomersall, E., Holt, H., Arnold, J.M., Gokhale, M.: The napa adaptive processing architecture. In: FCCM ’98: Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, p. 28. IEEE Computer Society, Los Alamitos (1998)

    Chapter  Google Scholar 

  49. Salefski, B., Caglar, L.: Re-configurable computing in wireless. In: DAC ’01: Proceedings of the 38th Annual Design Automation Conference, pp. 178–183. ACM, New York (2001). doi:10.1145/378239.378459

    Chapter  Google Scholar 

  50. Sankaralingam, K., Nagarajan, R., Liu, H., Kim, C., Huh, J., Ranganathan, N., Burger, D., Keckler, S.W., McDonald, R.G., Moore, C.R.: Trips: A polymorphous architecture for exploiting ilp, tlp, and dlp. ACM Trans. Archit. Code Optim. 1(1), 62–93 (2004). doi:10.1145/980152.980156

    Article  Google Scholar 

  51. Singh, H., Lee, M.H., Lu, G., Kurdahi, F.J., Bagherzadeh, N.: Morphosys: A reconfigurable architecture for multimedia applications. In: Workshop on Reconfigurable Computing at PACT, pp. 134–139 (1998)

    Google Scholar 

  52. Singh, H., Lee, M.H., Lu, G., Bagherzadeh, N., Kurdahi, F.J., Filho, E.M.C.: Morphosys: An integrated reconfigurable system for data-parallel and computation-intensive applications. IEEE Trans. Comput. 49(5), 465–481 (2000). doi:10.1109/12.859540

    Article  Google Scholar 

  53. Swanson, S., Michelson, K., Schwerin, A., Oskin, M.: Wavescalar. In: MICRO 36: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, p. 291. IEEE Computer Society, Los Alamitos (2003)

    Google Scholar 

  54. Swanson, S., Schwerin, A., Mercaldi, M., Petersen, A., Putnam, A., Michelson, K., Oskin, M., Eggers, S.J.: The wavescalar architecture. ACM Trans. Comput. Syst. 25(2), 4 (2007). doi:10.1145/1233307.1233308

    Article  Google Scholar 

  55. Tatas, K., Siozios, K., Soudris, D.: A survey of existing fine-grain reconfigurable architectures and CAD tools. In: Fine- and Coarse-Grain Reconfigurable Computing, pp. 3–87. Springer, Dordrecht (2007). http://www.springerlink.com/content/m561311j78506281/

    Chapter  Google Scholar 

  56. Tau, E., Chen, D., Eslick, I., Brow, J.: A first generation dpga implementation. In: Proceedings of the Third Canadian Workshop on Field-Programmable Devices, pp. 138–143 (1995)

    Google Scholar 

  57. Taylor, M.B., Kim, J., Miller, J., Wentzlaff, D., Ghodrat, F., Greenwald, B., Hoffman, H., Johnson, P., Lee, J.W., Lee, W., Ma, A., Saraf, A., Seneski, M., Shnidman, N., Strumpen, V., Frank, M., Amarasinghe, S., Agarwal, A.: The raw microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE Micro 22(2), 25–35 (2002). doi:10.1109/MM.2002.997877

    Article  Google Scholar 

  58. Theodoridis, G., Soudris, D., Vassiliadis, S.: A survey of coarse-grain reconfigurable architectures and cad tools. In: Fine- and Coarse-Grain Reconfigurable Computing, pp. 89–149. Springer, Dordrecht (2007). http://www.springerlink.com/content/j118u3m6m225q264/

    Chapter  Google Scholar 

  59. Vassiliadis, N., Kavvadias, N., Theodoridis, G., Nikolaidis, S.: A risc architecture extended by an efficient tightly coupled reconfigurable unit. In: International Workshop on Applied Reconfigurable Computing (ARC), pp. 41–49. Springer, Berlin (2005)

    Google Scholar 

  60. Vassiliadis, S., Gaydadjiev, G., Bertels, K., Panainte, E.M.: The Molen programming paradigm. In: Proceedings of the Third International Workshop on Systems, Architectures, Modeling, and Simulation, pp. 1–10 (2003)

    Google Scholar 

  61. Vassiliadis, S., Wong, S., Cotofana, S.: The Molen rho-mu-coded processor. In: FPL ’01: Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, pp. 275–285. Springer, London (2001)

    Google Scholar 

  62. Vassiliadis, S., Wong, S., Gaydadjiev, G., Bertels, K., Kuzmanov, G., Panainte, E.M.: The Molen polymorphic processor. IEEE Trans. Comput. 53(11), 1363–1375 (2004). doi:10.1109/TC.2004.104

    Article  Google Scholar 

  63. Waingold, E., Taylor, M., Srikrishna, D., Sarkar, V., Lee, W., Lee, V., Kim, J., Frank, M., Finch, P., Barua, R., Babb, J., Amarasinghe, S., Agarwal, A.: Baring it all to software: Raw machines. Computer 30(9), 86–93 (1997). doi:10.1109/2.612254

    Article  Google Scholar 

  64. Wilson, R.P., French, R.S., Wilson, C.S., Amarasinghe, S.P., Anderson, J.M., Tjiang, S.W.K., Liao, S.W., Tseng, C.W., Hall, M.W., Lam, M.S., Hennessy, J.L.: Suif: an infrastructure for research on parallelizing and optimizing compilers. SIGPLAN Not. 29(12), 31–37 (1994). doi:10.1145/193209.193217

    Article  Google Scholar 

  65. Wirthlin, M.J.: A dynamic instruction set computer. In: FCCM ’95: Proceedings of the IEEE Symposium on FPGA’s for Custom Computing Machines, p. 99. IEEE Computer Society, Los Alamitos (1995)

    Chapter  Google Scholar 

  66. Wirthlin, M.J., Hutchings, B.L., Gilson, K.L.: The nano processor: A low resource reconfigurable processor. In: Buell, D.A., Pocek, K.L. (eds.) IEEE Workshop on FPGAs for Custom Computing Machines, pp. 23–30. IEEE Computer Society, Los Alamitos (1994). citeseer.ist.psu.edu/wirthlin94nano.html

    Chapter  Google Scholar 

  67. Wittig, R.D., Chow, P.: Onechip: An fpga processor with reconfigurable logic. In: IEEE Symposium on FPGAs for Custom Computing Machines, pp. 126–135 (1995)

    Google Scholar 

  68. Ye, Z.A., Moshovos, A., Hauck, S., Banerjee, P.: Chimaera: a high-performance architecture with a tightly-coupled reconfigurable functional unit. SIGARCH Comput. Archit. News 28(2), 225–235 (2000). doi:10.1145/342001.339687

    Article  Google Scholar 

  69. Zhang, H., Prabhu, V., George, V., Wan, M., Benes, M., Abnous, A., Rabaey, J.: A 1-v heterogenous reconfigurable dsp ic for wireless baseband digital signal processing. IEEE J. Solid State Circuits 35(11), 1697–1704 (2000)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Antonio Carlos Schneider Beck Fl. .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Schneider Beck Fl., A.C., Carro, L. (2010). Deployment of Reconfigurable Systems. In: Dynamic Reconfigurable Architectures and Transparent Optimization Techniques. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3913-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3913-2_3

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3912-5

  • Online ISBN: 978-90-481-3913-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics