Skip to main content

Abstract

As previously discussed, it is possible to take advantage of reconfigurable computing to overcome the main problems that nowadays architectures are facing. Therefore, this chapter aims to explain the basics of reconfigurable systems. It starts with a basic explanation on how these architectures work, their main principles and steps. After that, the principle of merged instruction is introduced, showing how a reconfigurable unit can increase the IPC and affect the number of instructions issued and executed per cycle. The second part of this chapter starts with an overview on the classification of reconfigurable systems, including granularity, instruction types and coupling. Finally, the chapter presents a detailed analysis of the potential gains that reconfigurable computing can present, discussing the main differences, advantages and drawbacks of fine and coarse grain reconfigurable units.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Athanas, P.M., Silverman, H.F.: Processor reconfiguration through instruction-set metamorphosis. Computer 26(3), 11–18 (1993). doi:10.1109/2.204677

    Article  Google Scholar 

  2. Barat, F., Lauwereins, R.: Reconfigurable instruction set processors: A survey. In: RSP’00: Proceedings of the 11th IEEE International Workshop on Rapid System Prototyping (RSP 2000), p. 168. IEEE Computer Society, Los Alamitos (2000)

    Google Scholar 

  3. Beck, A.C., Rutzig, M.B., Gaydadjiev, G., Carro, L.: Run-time adaptable architectures for heterogeneous behavior embedded systems. In: ARC’08: Proceedings of the 4th International Workshop on Reconfigurable Computing, pp. 111–124. Springer, Berlin/Heidelberg (2008)

    Google Scholar 

  4. Clark, N., Kudlur, M., Park, H., Mahlke, S., Flautner, K.: Application-specific processing on a general-purpose core via transparent instruction set customization. In: MICRO 37: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 30–40. IEEE Computer Society, Los Alamitos (2004). doi:10.1109/MICRO.2004.5

    Google Scholar 

  5. Compton, K., Hauck, S.: Reconfigurable computing: a survey of systems and software. ACM Comput. Surv. 34(2), 171–210 (2002). doi:10.1145/508352.508353

    Article  Google Scholar 

  6. Guthaus, M.R., Ringenberg, J.S., Ernst, D., Austin, T.M., Mudge, T., Brown, R.B.: Mibench: a free, commercially representative embedded benchmark suite. In: WWC’01: Proceedings of the Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop, pp. 3–14. IEEE Computer Society, Los Alamitos (2001). doi:10.1109/WWC.2001.15

    Chapter  Google Scholar 

  7. Hennessy, J.L., Patterson, D.A.: Computer Architecture: A Quantitative Approach, 4th edn. Morgan Kaufmann, San Mateo (2006)

    MATH  Google Scholar 

  8. Henning, J.L.: Spec cpu2000: Measuring cpu performance in the new millennium. Computer 33(7), 28–35 (2000). doi:10.1109/2.869367

    Article  Google Scholar 

  9. Hwu, W.M.W., Mahlke, S.A., Chen, W.Y., Chang, P.P., Warter, N.J., Bringmann, R.A., Quellette, R.G., Hank, R.E., Kiyohara, T., Haab, G.E., Holm, J.G., Lavery, D.M.: The superblock: an effective technique for vliw and superscalar compilation. In: Instruction-level Parallel Processors, pp. 234–253 (1995)

    Google Scholar 

  10. Jain, M.K., Balakrishnan, M., Kumar, A.: Asip design methodologies: Survey and issues. In: VLSID’01: Proceedings of the 14th International Conference on VLSI Design (VLSID’01), p. 76. IEEE Computer Society, Los Alamitos (2001)

    Google Scholar 

  11. Mahlke, S.A., Lin, D.C., Chen, W.Y., Hank, R.E., Bringmann, R.A.: Effective compiler support for predicated execution using the hyperblock. In: MICRO 25: Proceedings of the 25th Annual International Symposium on Microarchitecture, pp. 45–54. IEEE Computer Society, Los Alamitos (1992). doi:10.1145/144953.144998

    Chapter  Google Scholar 

  12. Panainte, E.M., Bertels, K., Vassiliadis, S.: The Molen compiler for reconfigurable processors. ACM Trans. Embed. Comput. Syst. 6(1), 6 (2007). doi:10.1145/1210268.1210274

    Article  Google Scholar 

  13. Patel, S.J., Lumetta, S.S.: Replay: A hardware framework for dynamic optimization. IEEE Trans. Comput. 50(6), 590–608 (2001). doi:10.1109/12.931895

    Article  Google Scholar 

  14. Sima, D.: Decisive aspects in the evolution of microprocessors. Proc. IEEE 92(12), 1896–1926 (2004)

    Article  Google Scholar 

  15. Singh, H., Lee, M.H., Lu, G., Bagherzadeh, N., Kurdahi, F.J., Filho, E.M.C.: Morphosys: An integrated reconfigurable system for data-parallel and computation-intensive applications. IEEE Trans. Comput. 49(5), 465–481 (2000). doi:10.1109/12.859540

    Article  Google Scholar 

  16. Smith, M.J.S.: Application-Specific Integrated Circuits. Addison-Wesley, Reading (2008)

    Google Scholar 

  17. Theodoridis, G., Soudris, D., Vassiliadis, S.: A survey of coarse-grain reconfigurable architectures and cad tools. In: Fine- and Coarse-Grain Reconfigurable Computing, pp. 89–149. Springer, Dordrecht (2007). http://www.springerlink.com/content/j118u3m6m225q264/

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Antonio Carlos Schneider Beck Fl. .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Schneider Beck Fl., A.C., Carro, L. (2010). Reconfigurable Systems. In: Dynamic Reconfigurable Architectures and Transparent Optimization Techniques. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3913-2_2

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3913-2_2

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3912-5

  • Online ISBN: 978-90-481-3913-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics