Skip to main content

Functional Analysis and Synthesis of Floating Point Arithmetic Devices

  • Chapter
Computer Arithmetic
  • 2237 Accesses

Abstract

The chapter is dedicated to the functional analysis and synthesis of the floating point arithmetic devices and it begins by highlighting the characteristics of operating in floating point, with investigation of the fundamental operations of addition, subtraction, multiplication and division. Particular consideration is given to the rounding problem with respect to analyzing the rounding modes, establishing the values of the rounding bits following the normalization shifts and of the conditioned implementation of the rounding operation. Synthesis solutions for some floating point units are presented, for which the addition and subtraction operations are to be realized without and with rounding. The steps of the algorithm for floating point addition and subtraction with rounding are dissected and the methods for speeding up the addition/subtraction process are investigated. Besides the speedup techniques based on an arithmetic pipeline, there is an extensive presentation of the solutions based on parallel computation. In this last context we present some innovative solutions based on reconfigurable synthesis. The chapter concludes with a section dedicated to analysis of the floating point multiplication and division operations.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Randal E. Bryant, David O’Hallaron: “Computer Systems. A Programmer’s Perspective” Pearson Education International, Upper Saddle River, 2003.

    Google Scholar 

  2. Miloš D. Ercegovac, Tomas Lang: “Digital Arithmetic” Morgan Kaufmann, San Mateo, 2004.

    Google Scholar 

  3. Guy Even, Peter-Michael Seidel: “A Comparison of Three Rounding Algorithms for IEEE Floating-Point Multiplication” IEEE Trans. Comput., vol. 49, no. 7, 2000, pp. 638–650.

    Article  Google Scholar 

  4. John P. Hayes: “Computer Architecture and Organization” McGraw-Hill, New York, Third Edition, 1998.

    Google Scholar 

  5. John L. Hennessy, David A. Patterson: “Computer Architecture. A Quantitative Approach” Morgan Kaufmann, San Mateo, Third Edition, 2003; Appendix H: Computer Arithmetic by David Goldberg.

    Google Scholar 

  6. International Technology Roadmap for Semiconductors-Interconnect, 2001.

    Google Scholar 

  7. Jong-Chul Jeong, Woo-Chan Park, Woong Jeong, Tack-Don Han, Moon-Key Lee: “A Cost-Effective Pipelined Divider with a Small Lookup Table” IEEE Trans. Comput., vol. 53, no. 4, 2004, pp. 489–495.

    Google Scholar 

  8. W. Kahan: “Lecture Notes on the Status of IEEE Standard 754 for Binary Floating-Point Arithmetic” October 1997, http://www.cs.berkeley.edu/~wkahan/ieee754status/IEEE754.PDF.

  9. Marcelo E. Kaihara, Naofumi Takagi: “A Hardware Algorithm for Modular Multiplication/Division” IEEE Trans. Comput., vol. 54, no. 1, 2005, pp. 12–21.

    Article  Google Scholar 

  10. Israel Koren: “Computer Arithmetic Algorithms” A.K. Peters, Wellesley, Second Edition, 2002.

    MATH  Google Scholar 

  11. Ulrich W. Kulisch: “Advanced Arithmetic for the Digital Computer. Design of Arithmetic Units” Springer, Berlin, 2002.

    Book  MATH  Google Scholar 

  12. Tomas Lang, Elisardo Antelo: “Radix-4 Reciprocal Square Root and Its Combination with Division and Square Root” IEEE Trans. Comput., vol. 52, no. 9, 2003, pp. 1100–1114.

    Article  Google Scholar 

  13. Stuart F. Oberman, Michael J. Flynn: “Division Algorithms and Implementations” IEEE Trans. Comput., vol. 46, no. 8, 1997, pp. 833–854.

    Article  MathSciNet  Google Scholar 

  14. Amos R. Omondi: “Computer Arithmetic Systems. Algorithms, Architecture and Implementations” 1994. C.A.R. Hoare Series Editor.

    MATH  Google Scholar 

  15. Behrooz Parhami: “Computer Arithmetic. Algorithms and Hardware Designs” Oxford University Press, London, 2000.

    Google Scholar 

  16. Jose-Alejandro Piñeiro, Javier D. Bruguera: “High-Speed Double-Precision Computation of Reciprocal, Division, Square Root and Inverse Square Root” IEEE Trans. Comput., vol. 51, no. 12, 2002, pp. 1377–1388.

    Article  MathSciNet  Google Scholar 

  17. L. Howard Pollard: “Computer Design and Architecture” Prentice-Hall International, Englewood Cliffs, 1990.

    Google Scholar 

  18. Nhon T. Quach, Naofumi Takagi, Michael J. Flynn: “Systematic IEEE Rounding Method for High-Speed Floating-Point Multipliers” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 5, 2004, pp. 511–521.

    Article  Google Scholar 

  19. Eric M. Schwarz, Martin Schmookler, Son Dao Trong: “Hardware Implementations of Denominalized Numbers” Proc. 16th IEEE Symposium on Computer Arithmetic (Arith 16), 2003, pp. 70–78.

    Google Scholar 

  20. Eric M. Schwarz, Martin Schmookler, Son Dao Trong: “FPU Implementations with Denormalised Numbers” IEEE Trans. Comput., vol. 54, no. 7, 2005, pp. 825–836.

    Article  Google Scholar 

  21. Peter-Michael Seidel, Guy Even: “On the Design of Fast IEEE Floating-Point Adders” Proc 15th IEEE Symposium on Computer Arithmetic (Arith 15), 2001, pp. 184–194.

    Google Scholar 

  22. Peter-Michael Seidel, Guy Even: “Delay-Optimized Implementation of IEEE Floating-Point Addition” IEEE Trans. Comput., vol. 53, no. 2, 2004, pp. 97–113.

    Article  Google Scholar 

  23. William Stallings: “Computer Organization and Architecture. Designing for Performance” Prentice Hall International, Englewood Cliffs, 1999.

    Google Scholar 

  24. Julio Villalba, Tomas Lang, Mario A. Gonzales: “Double-Residue Modular Range Reduction for Floating-Point Hardware Implementations” IEEE Trans. Comput., vol. 55, no. 3, 2006, pp. 254–267.

    Article  Google Scholar 

  25. John F. Wakerly: “Digital Design. Principles and Practices” Prentice-Hall, New York, 2000.

    Google Scholar 

  26. “IEEE Standard for Floating Point Arithmetic” http://ali.ayad.free.fr/IEEE_2008.pdf.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Vlăduţiu, M. (2012). Functional Analysis and Synthesis of Floating Point Arithmetic Devices. In: Computer Arithmetic. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-18315-7_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-18315-7_5

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-18314-0

  • Online ISBN: 978-3-642-18315-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics