Skip to main content

Part of the book series: TEUBNER-TEXTER zur Informatik ((TTZI,volume 27))

  • 50 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 49.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 59.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Literatur

  1. M. Abramovici, M. A. Breuer, A. D. Friedman, “Digital Systems Testing and Testable Design”, Computer Science Press, Freeman, New York, 1990

    Google Scholar 

  2. M. S. Abadir, M. A. Breuer, “Constructing Optimal Test Schedules for VLSI Circuits Having Built-in Test Hardware”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-15), 1985, pp. 165–170

    Google Scholar 

  3. M. S. Abadir, M. A. Breuer, “A Knowledge-Based System for Designing Testable VLSI Chips”, IEEE Design&Test, vol. 2, no. 4, Aug. 1985, pp. 56–68

    Article  Google Scholar 

  4. M. S. Abadir, M. A. Breuer, “Test Schedules for VLSI Circuits Having Built-in Test Hardware”, IEEE Transactions on Computers, vol. 35, no. 4, April 1986, pp. 361–367

    Article  Google Scholar 

  5. M. Abramovici, J. J. Kulikowski, R. K. Roy, “The Best Flip-Flops to Scan”, in Proc. International Test Conference, 1991, pp. 166–173

    Google Scholar 

  6. J. M. Acken, “Deriving Accurate Fault Models”, Ph. D. Thesis, Stanford University, 1988

    Google Scholar 

  7. V. K. Agarwal, E. Cerny, “Store and Generate Built-in-Testing Approach”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-11), 1981, pp. 35–40

    Google Scholar 

  8. V. D. Agrawal, K.-T. Cheng, “Test Function Specification in Synthesis”, ACM/IEEE Design Automation Conference, 1990, pp. 235–240

    Google Scholar 

  9. V. D. Agrawal, S. K. Jain, D. M. Singer, “Automation in Design for Testability”, in Proc. IEEE Custom Integrated Circuits Conference, 1984, pp. 159–163

    Google Scholar 

  10. V. D. Agrawal, C. R. Kime, K. K. Saluja, “A Tutorial on Built-in Self-Test, Part 1: Principles”, IEEE Design&Test, vol. 10, no. 1, pp. 73–82, 1993

    Article  Google Scholar 

  11. V. D. Agrawal, C. R. Kime, K. K. Saluja, “A Tutorial on Built-in Self-Test, Part 2: Applications”, IEEE Design&Test, vol. 10, no. 2, pp. 69–77, 1993

    Article  Google Scholar 

  12. S. B. Akers, “On the Use of Linear Sums in Exhaustive Testing”, in Proc. International Symposium on Fault-Tolerant Computing, 1985, pp. 148–153

    Google Scholar 

  13. M. F. Al Shaibi, C. R. Kime, “Fixed-Biased Pseudorandom Built-in Self-Test for Random Pattern Resistant Circuits”, in Proc. International Test Conference, 1994, pp. 929–938

    Google Scholar 

  14. A. P. Ambler, M. Paraskeva, D. F. Burrows, W. L. Knight, I. D. Dear, “Economically Viable Automatic Insertion of Self-Test Features for Custom VLSI”, in Proc. International Test Conference, 1986, pp. 232–243

    Google Scholar 

  15. M. Abramovici, P. S. Parikh, B. Mathew, D. G. Saab, “On Selecting Flip-Flops for Partial Reset”, in Proc. International Test Conference, 1993, pp. 1008–1012

    Google Scholar 

  16. P. Ashar, S. Malik, “Implicit Computation of Minimum-Cost Feedback-Vertex Sets for Partial Scan and Other Applications”, in Proc. ACM/IEEE Design Automation Conference, San Diego, 1994, pp. 77–80

    Google Scholar 

  17. L. J. Avra, E. J. McCluskey, “Behavioral synthesis of testable systems with VHDL”, in Proc. COMPCON Spring’90, 1990, pp. 410–415

    Google Scholar 

  18. L. J. Avra, E. J. McCluskey, “Synthesizing for Scan Dependence in Built-in Self-Testable Designs”, in Proc. International Test Conference, 1993, pp. 734–743

    Google Scholar 

  19. L. Avra, “Allocation and Assignment in High-Level Synthesis for Self-Testable Data Paths”, in Proc. International Test Conference, 1991, pp. 463–472

    Google Scholar 

  20. Z. Barzilai, D. Coppersmith, A. L. Rosenberg, “Exhaustive Generation of Bit Patterns with Applications to VLSI Self-Testing”, IEEE Transactions on Computers, vol. 32, no. 2, February 1983, pp. 190–194

    Article  MATH  Google Scholar 

  21. P. H. Bardell, W. H. McAnney, “Self-Testing of Multichip Logic Modules”, in Proc. International Test Conference, 1982, pp. 309–313

    Google Scholar 

  22. P. H. Bardell, W. H. McAnney, “Parallel Pseudorandom Sequences”, in Proc. International Test Conference, 1984, pp. 302–308

    Google Scholar 

  23. P. H. Bardell, “Design Considerations for Parallel Pseudorandom Pattern Generators”, in Journal of Electronic Testing: Theory and Applications, vol. 1, no. 1, Feb. 1990, pp. 73–89

    Article  Google Scholar 

  24. Z. Barzilai, B. K. Rosen, “Comparison of AC Self-Testing Procedures”, in Proc. International Test Conference, 1983, pp. 89–94

    Google Scholar 

  25. B. Becker, R. Drechsler, P. Molitor, “On the Generation of Area-Time Optimal Testable Adders”, IEEE Transactions on CAD, vol. 14, no. 9, Sept. 1995, pp. 1049–1066

    Google Scholar 

  26. R. G. Bennetts, “Design of Testable Logic Circuits”, Addison-Wesley, London, 1984

    Google Scholar 

  27. M. Bershteyn, “Calculation of Multiple Sets of Weights for Weighted Random Testing”, in Proc. International Test Conference, 1993, pp. 1030–1040

    Google Scholar 

  28. S. N. Bhatt, F. R. K. Chung, A. L. Rosenberg, “Partitioning Circuits for Improved Testability”, Algorithmica, vol. 6, 1991, pp. 37–48

    Article  MathSciNet  MATH  Google Scholar 

  29. S. Bhatia, N. K. Jha, “Genesis: A Behavioral Synthesis System for Hierachical Testability”, in Proc. European Design and Test Conference, 1994, pp. 272–276

    Google Scholar 

  30. D. K. Bhavsar, B. Krishnamurthy, “Can we eliminate fault escape in self testing by polynomial division (signature analysis)?”, in Proc. International Test Conference, 1984, pp. 134–139

    Google Scholar 

  31. R. K. Brayton, G. D. Hachtel, C. T. McMullan, A. L. Sangiovanni-Vincentelli, “Logic Minimization Algorithms for VLSI Synthesis”, Kluwer, Boston, 1984

    Book  MATH  Google Scholar 

  32. S. Bhawmik, P. Pal Chaudhuri, “DfT Expert: Designing Testable VLSI Circuits”, IEEE Design&Test, vol. 6, no. 5, Oct. 1989, pp. 8–19

    Article  Google Scholar 

  33. R. D. Blanton, J. P. Hayes, “Design of a Fast, Easily Testable ALU”, in Proc. VLSI Test Symposium, 1996, pp. 9–16

    Google Scholar 

  34. S. Boubezari, B. Kaminska, “A Deterministic Built-in Self-Test Generator Based on Cellular Automata Structures”, IEEE Transactions on Computers, vol. 44, no. 6, June 1995, pp. 805–816

    Article  MATH  Google Scholar 

  35. S. Bou-Ghazale, P. N. Marinos, “Testing With Correlated Test Vectors”, in Proc. International Symposium on Fault-Tolerant Computing, 1992, pp. 254–262

    Google Scholar 

  36. F. Brglez, D. Bryan, K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits”, in Proc. International Symposium on Circuits and Systems, 1989, pp. 1929–1934

    Google Scholar 

  37. F. Brglez, H. Fujiwara, “A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN”, in Proc. International Symposium on Circuits and Systems, 1985

    Google Scholar 

  38. M. A. Breuer, R. Gupta, J.-C. Lien, “Concurrent Control of Multiple BIT Structures”, in Proc. International Test Conference, 1988, pp. 431–442

    Google Scholar 

  39. R. K. Brayton, R. Rudell, A. L. Sangiovanni-Vincentelli, A. R. Wang “MIS: A Multiple-Level Logic Optimization System”, IEEE Transactions on CAD, vol. 6, no. 11, Nov. 1987, pp. 1062–1081

    Google Scholar 

  40. M. G. Buehler, “Microelectronic Test Chips for VLSI Electronics”, in: VLSI Electronics Microstructure Science, vol. 9, Academic Press, New York, 1983

    Google Scholar 

  41. K. Cattell, J. C. Muzio, “Synthesis of One-Dimensional Linear Hybrid Cellular Automata”, IEEE Transactions on CAD, vol. 15, no. 3, March 1996, pp. 325–335

    Google Scholar 

  42. J. Carletta, C. Papachristou, “Structural Constraints for Circular Self-Test Paths”, in Proc. VLSI Test Symposium, 1994, pp. 87–92

    Google Scholar 

  43. B. Chess, A. Freitas, F. J. Ferguson, T. Larabee, “Testing CMOS Logic Gates for Realistic Shorts”, in Proc. International Test Conference, 1994, pp. 395–402

    Google Scholar 

  44. S. J. Chandra, T. Ferry, T. Gheewala, K. Pierce, “ATPG Based on a Novel Grid-Addressable Latch Element”, in Proc. ACM/IEEE Design Automation Conference, 1991, pp. 282–286

    Google Scholar 

  45. K.-T. Cheng, V. D. Agrawal, “A Partial Scan Method for Sequential Circuits with Feedback”, IEEE Transactions on Computers, vol. 39, no. 4, April 1990, pp. 544–547

    Article  Google Scholar 

  46. S. T. Chakradhar, A. Balakrishnan, V. D. Agrawal, “An Exact Algorithm for Determining Partial Scan Flip-Flops”, in Proc. ACM/IEEE Design Automation Conference, San Diego, 1994, pp. 81–86

    Google Scholar 

  47. S. T. Chakradhar, S. Dey, “Resynthesis and Retiming for Optimum Partial Scan”, in Proc. ACM/IEEE Design Automation Conference, 1994, pp. 87–93

    Google Scholar 

  48. K.-T. Cheng, “On Removing Redundancy in Sequential Circuits”, in Proc. ACM/IEEE Design Automation Conference, 1991, pp. 164–169

    Google Scholar 

  49. C.-I. H. Chen, “Graph Partitioning for Concurrent Test Scheduling in VLSI Circuit”, in Proc. ACM/EEEE Design Automation Conference, 1991, pp. 287–290

    Google Scholar 

  50. C. C. Chuang, A. K. Gupta, “The Analysis of Parallel BIST by the Combined Markov Chain (CMC) Model”, in Proc. International Test Conference, 1989, pp. 337–343

    Google Scholar 

  51. C.-H. Chiang, S. K. Gupta, “Random Pattern Testable Logic Synthesis”, in Proc. International Conference on CAD, 1994, pp. 125–128

    Google Scholar 

  52. C.-A. Chen, S. K. Gupta, “A Methodology to Design Efficient BIST Test Pattern Generators”, in Proc. International Test Conference, 1995, pp. 814–823

    Google Scholar 

  53. C.-A. Chen, S. K. Gupta, “BIST Test Pattern Generators for Two-Pattern Testing — Theory and Design Algorithms”, IEEE Transactions on Computers, vol. 45, no. 3, March 1996, pp. 257–269

    Article  MathSciNet  MATH  Google Scholar 

  54. S. Chakravarty, H. H. Hunt, “On Computing Signal Probability and Detection Probability of Stuck-at Faults”, IEEE Transactions on Computers, vol. 39, no. 11, Nov. 1990, pp. 1369–1377

    Article  Google Scholar 

  55. C.-H. Chen, T. Karnik, D. G. Saab, “Structural and Behavioral Synthesis for Testability Techniques”, IEEE Transactions on CAD, vol. 13, no. 6, June 1994, pp. 777–785

    Google Scholar 

  56. K.-T. Cheng, C.-J. Lin, “Timing Driven Test Point Insertion for Full-Scan and Partial Scan”, in Proc. International Test Conference, 1995, pp. 506–514

    Google Scholar 

  57. W.-T. Cheng, J. L. Lewandowski, E. Wu, “Diagnosis for Wiring Interconnects”, in Proc. International Test Conference, 1990, pp. 565–571

    Google Scholar 

  58. V. Chickermane, J. H. Patel, “An Optimization Based Approach to the Partial Scan Problem”, in Proc. International Test Conference, 1990, pp. 377–386

    Google Scholar 

  59. S. Chiu, C. A. Papachristou, “A Design for Testability Scheme with Applications to Data Path Synthesis”, in Proc. ACM/IEEE Design Automation Conference, 1991, pp. 271–277

    Google Scholar 

  60. S. S. K. Chiu, C. A. Papachristou, “A Built-in Self-Testing Approach for Minimizing Hardware Overhead”, in Proc. International Conference on Computer Design, 1991, pp. 282–285

    Google Scholar 

  61. M. Chatterjee, D. K. Pradhan, W. Kunz, “LOT: Logic Optimization with Testability — New Transformations using Recursive Learning”, in Proc. International Conference on CAD, 1995, pp. 318–325

    Google Scholar 

  62. M. Chatterjee, D. K. Pradhan, “A Novel Pattern Generator for Near-Perfect Fault-Coverage”, in Proc. VLSI Test Symposium, 1995, pp. 417–425

    Google Scholar 

  63. N. Christofides, “Graph Theory, An Algorithmic Approach”, Academic Press, London, 1975

    MATH  Google Scholar 

  64. D. R. Cox, H. D. Miller, “The Theory of Stochastic Processes”, Methuen, London, 1965

    MATH  Google Scholar 

  65. F. Corno, P. Prinetto, M. Sonza Reorda, “Making the Circular Self-Test Path Technique Effective for Real Circuits”, in Proc. International Test Conference, 1994, pp. 949–957

    Google Scholar 

  66. F. Corsi, S. Martino, C. Marzocca, R. Tangorra, C. Baroni, M. Buraschi, “Critical Areas for Finite Length Conductors”, Microelectronics& Reliability, vol. 32, no. 11, 1992, pp. 1539–1544

    Article  Google Scholar 

  67. G. L. Craig, C. R. Kime, K. K. Saluja, “Test Scheduling and Control for VLSI Built-in Self-Test”, IEEE Transactions on Computers, vol. 37, no. 9, Sept. 1988, pp. 1099–1109

    Article  Google Scholar 

  68. M. Dalpasso, M. Favalli, P. Olivo, “Test Pattern Generation for IDDQ: Increasing Test Quality”, in Proc. VLSI Test Symposium, 1995, pp. 304–309

    Google Scholar 

  69. M. Damiani, P. Olivo, M. Favalli, S. Ercolani, B. Riccó, “Aliasing in Signature Analysis Testing with Multiple Input Shift-Registers”, IEEE Transactions on CAD, vol. 9, no. 12, Dec. 1990, pp. 1344–1353

    Google Scholar 

  70. W. Daehn, J. Mucha, “Hardware Test Pattern Generation for Built-in Testing”, in Proc. International Test Conference, 1981, pp. 110–113

    Google Scholar 

  71. M. Damiani, P. Olivo, B. Riccó, “Analysis and Design of Linear Finite State Machines for Signature Analysis Testing”, IEEE Transactions on Computers, vol. 40, no. 9, Sept. 1991, pp. 1034–1045

    Article  Google Scholar 

  72. R. David, “Feedback Shift Register Testing”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-8), 1978, pp. 103–107

    Google Scholar 

  73. R. David, “Signature Analysis for Multiple-Output Circuits”, IEEE Transactions on Computers, vol. C-35, no. 9, Sept. 1986, pp. 830–837

    Article  Google Scholar 

  74. W. Daehn, T. W. Williams, K. D. Wagner, “Aliasing errors in linear automata used as multiple-input signature analyzers”, IBM Journal of Research and Development, vol. 34, no. 2/ 3, March/May 1990, pp. 363–380

    Article  MathSciNet  Google Scholar 

  75. W. H. Debany, M. J. Gorniak, D. E. Daskiewich, A. R. Macera, K. A. Kwiat, H. B. Dussault, “Empirical Bounds on Fault Coverage Loss Due to LFSR Aliasing”, in Proc. VLSI Test Symposium, 1992, pp. 143–148

    Google Scholar 

  76. K. De, P. Banerjee, “PREST: A System for Logic Partitioning and Resynthesis for Testability”, IEEE Transactions on VLSI Systems, vol. 1, no. 4, Dec. 1993, pp. 514–525

    Article  Google Scholar 

  77. S. Devadas, K. Keutzer, “Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits”, in Proc. ACM/IEEE Design Automation Conference, 1990, pp. 221–227

    Google Scholar 

  78. S. Devadas, K. Keutzer: “Synthesis of Robust Delay-Fault-Testable Circuits”, IEEE Transactions on CAD, vol. 11, no. 1, Jan. 1992, pp. 87–101

    Google Scholar 

  79. S. Dey, M. Potkonjak, “Transforming Behavioral Specifications to Facilitate Synthesis of Testable Designs”, in Proc. International Test Conference, 1994, pp. 184–193

    Google Scholar 

  80. S. Dey, M. Potkonjak, R. Roy, “Synthesizing Designs with Low-Cardinality Minimum Feedback Vertex Set for Partial Scan Application”, in Proc. VLSI Test Symposium, 1994, pp. 2–7

    Google Scholar 

  81. S. Das Gupta, P. Goel, R. G. Walther, T. W. Williams, “A Variation of LSSD and its Implications on Design and Test Pattern Generation in VLSI”, in Proc. International Test Conference, 1982, pp. 63–66

    Google Scholar 

  82. S. Devadas, H. T. Ma, A. R. Newton, A. Sangiovanni-Vincentelli, “MUSTANG: State Assignment of Finite State Machines Targeting Multi-Level Logic Implementations”, IEEE Transactions on CAD, vol. 7, no. 12, Dec. 1988, pp. 1290–1300

    Google Scholar 

  83. C. Dufaza, C. Chevalier, “LFSROM — Basic Principle and BIST Application”, in Proc. European Conference on Design Automation (EDAC), 1993, pp. 211–216

    Google Scholar 

  84. U. Dudley, “Elementary Number Theory”, Freeman, San Francisco, 1969

    MATH  Google Scholar 

  85. S. Das Gupta, R. G. Walther, E. B. Eichelberger, T. W. Williams, “An Enhancement to LSSD and Some Applications of LSSD in Reliability, Availability and Serviceability”, in Proc. International Symposium on Fault Tolerant Computing (FTCS-11), 1981, pp. 32–34

    Google Scholar 

  86. G. Edirisooriya, J. P. Robinson, “Time and Space Correlated Errors in Signature Analysis”, in Proc. VLSI Test Symposium, 1993, pp. 275–281

    Google Scholar 

  87. E. B. Eichelberger, T. W. Williams, “A Logic Design Structure for LSI Testability”, in Proc. ACM/IEEE Design Automation Conferenc, 1977, pp. 462–468

    Google Scholar 

  88. R. D. Eldred, “Test routines based on symbolic logical statements for combinational logic nets”, Journal of the ACM, vol. 6, no. 1, 1959, pp. 33–36

    Article  MathSciNet  MATH  Google Scholar 

  89. B. Eschermann, H.-J. Wunderlich, “A Unified Apporach for the Synthesis of Self-Testable Finite State Machines”, in Proc. ACM/EEEE Design Automation Conference, 1991, pp. 372–377

    Google Scholar 

  90. B. Eschermann, H.-J. Wunderlich, “Emulation of Scan Paths in Sequential Circuit Synthesis”, in Proc. Fault-Tolerant Computing Systems, Springer, Informatik-Fachberichte 283, 1991, pp. 136–147

    Google Scholar 

  91. B. Eschermann, H.-J. Wunderlich, “Optimized Synthesis Techniques for Testable Sequential Circuits”, IEEE Transactions on CAD, vol. 11, no. 3, March 1992, pp. 301–312

    Google Scholar 

  92. European Silicon Structures, “ES2 ECPD10 Library Databook”, Rousset, France, 1992

    Google Scholar 

  93. F. J. Ferguson, T. Larrabee, “Some Future Directions in Fault Modeling and Test Pattern Generation Research”, Technical Report UCSC-CRL-91-24, University of California (Santa Cruz), Computer Engineering Department, 1992

    Google Scholar 

  94. W. Feller, “An Introduction to Probability Theory and Its Application”, Wiley Sons, New York, 1968

    Google Scholar 

  95. F. Ferschl, “Markov-Ketten”, Springer, Berlin 1970

    Google Scholar 

  96. F. J. Ferguson, J. P. Shen, “Extraction and Simulation of Realistic CMOS Faults using Inductive Fault Analysis”, in Proc. International Test Conference, 1988, pp. 475–484

    Google Scholar 

  97. P. Franco, W. Farwell, R. Stokes, E. McCluskey, “An Experimental Chip to Evaluate Test Techniques: Chip and Experiment Design”, in Proc. International Test Conference, 1995, pp. 653–662

    Google Scholar 

  98. M. L. Flottes, D. Hammad, B. Rouzeyre, “High-Level Synthesis for Easy Testability”, in Proc. European Design and Test Conference, 1995, pp. 198–206

    Google Scholar 

  99. R. A. Frohwerk, “Signature Analysis: A New Digital Field Service Method”, Hewlett Packard Journal, vol. 28, no. 9, May 1977, pp. 2–8

    Google Scholar 

  100. S. Funatsu, M. Kawai, A. Yamada, “Scan Design at NEC”, IEEE Design&Test, vol. 6, no. 2, June 1989, pp. 50–57

    Article  Google Scholar 

  101. H. Fujiwara, T. Shimono, “On the Acceleration of Test Generation Algorithms”, IEEE Transactions on Computers, vol. 32, no. 12, Dec. 1983, pp. 1137–1144

    Article  Google Scholar 

  102. M. R. Garey, D. S. Johnson, “Computers and Intractability”, New York: Freeman, 1979

    MATH  Google Scholar 

  103. D. D. Gajski, N. D. Dutt, A. C.-H. Wu, S. Y.-L. Lin, “High-Level Synthesis: Introduction to Chip and System Design”, Boston: Kluwer, 1992

    Google Scholar 

  104. T. Gheewala, “Crosscheck: A Cell Based VLSI Testability Solution”, in Proc. ACM/IEEE Design Automation Conference, 1989, pp. 706–709

    Google Scholar 

  105. P. Goel, “An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits”, IEEE Transactions on Computers, vol. 30, no. 3, March 1981, pp. 215–222

    Article  MATH  Google Scholar 

  106. N. Gouders, R. Kaibel, “Advanced Techniques for Sequential Test Generation”, in Proc. European Test Conference, 1991, pp. 293–300

    Google Scholar 

  107. D. Goldberg, “Computer Arithmetic”, in: J. L. Hennessy, D. A. Patterson, “Computer Architecture: A Quantitative Approach”, San Mateo, CA: Morgan Kaufmann, 1990

    Google Scholar 

  108. S. W. Golomb, “Shift Register Sequences”, Holden-Day, San Francisco, 1967

    MATH  Google Scholar 

  109. A. J. van de Goor, G. A. Verruijt, “An Overview of Determinstic Functional RAM Chip Testing”, ACM Computing Surveys, vol. 22, no. 1, March 1990, pp. 5–33

    Article  Google Scholar 

  110. R. Gupta, R. Gupta, M. A. Breuer, “The BALLAST Methodology for Structured Partial Scan Design”, IEEE Transactions on Computers, vol. 39, no. 4, April 1990, pp. 538–544

    Article  Google Scholar 

  111. S. K. Gupta, D. K. Pradhan, S. M. Reddy, “Zero Aliasing Compression”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-20), 1990, pp. 254–263

    Google Scholar 

  112. S. Gupta, J. Rajski, J. Tyszer, “Test Pattern Generation Based On Arithmetic Operations”, in Proc. International Conference on Computer-Aided Design, 1994, pp. 117–124

    Google Scholar 

  113. R. Gupta, R. Srinivasan, M. A. Breuer, “Reorganizing Circuits to Aid Testability”, DEEE Design&Test, vol. 8, no. 3, Sept. 1991, pp. 49–57

    Google Scholar 

  114. P. Gutberiet, “Entwurf eines schnellen Matrizenmultiplizierers”, Studienarbeit, Fakultät für Informatik, Universität Karlsruhe, 1988

    Google Scholar 

  115. O. Haberl, “Eine Methode zur automatischen Synthese hierarchisch selbst-testbarer Systeme”, VDI-Verlag, Düsseldorf, 1993

    Google Scholar 

  116. J. P. Hayes, A. D. Friedman, “Test Point Placement to Simplify Fault Detection”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-3), 1973, pp. 73–78

    Google Scholar 

  117. M. C. Hansen, J. P. Hayes, “High-Level Test Generation using Physically-Induced Faults”, in Proc. VLSI Test Symposium, 1995, pp. 20–28

    Google Scholar 

  118. H. Hao, E. J. McCluskey, “Very-Low-Voltage Testing for Weak CMOS Logic IC’s”, in Proc. International Conference, 1993, pp. 275–284

    Google Scholar 

  119. A. S. M. Hassan, V. K. Agarwal, B. Nadeau-Dostie, J. Rajski: “BIST of PCB Interconnects Using Boundary-Scan Architecture”, IEEE Transactions on CAD, vol. 11, no. 10, Oct. 1992, pp. 1278–1288

    Google Scholar 

  120. I. G. Harris, A. Orailoglu, “Fine-Grained Concurrency in Test Scheduling for Partial-Intrusion BIST”, in Proc. European Design and Test Conference, 1994, pp. 119–123

    Google Scholar 

  121. I. G. Harris, A. Orailoglu, “Microarchitectural Synthesis of VLSI Designs with High Test Concurrency”, in Proc. ACM/IEEE Design Automation Conference, 1994, pp. 206–211

    Google Scholar 

  122. H. Harmanani, C. A. Papachristou, “An Improved Method for RTL Synthesis with Testability Tradeoffs”, in Proc. International Conference on CAD, 1993, pp. 30–35

    Google Scholar 

  123. F. Harary, “Graph Theory”, Addison-Wesley, Reading MA, 1969

    Google Scholar 

  124. O. F. Haberl, H.-J. Wunderlich, “The Synthesis of Self-Test Control Logic”, in Proc. COMPEURO, 1989, pp. 5.134–5.136

    Google Scholar 

  125. J. P. Hayes, “Transition Count Testing of Combinational Logic Circuits”, IEEE Transactions on Computers, vol. 25, no. 6, June 1976, pp. 613–620

    Article  MathSciNet  MATH  Google Scholar 

  126. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, B. Courtois, “Built-in Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers”, IEEE Transactions on Computers, vol. 44, no. 2, Feb. 1995, pp. 223–233

    Article  MATH  Google Scholar 

  127. C. Hess, A. P. Ströle, “Modeling of Real Defect Outlines for Defect Size Distribution and Yield Prediction”, in Proc. International Conference on Microelectronic Test Structures, 1993, pp. 75–80

    Google Scholar 

  128. C. Hess, A. P. Ströle, “Modellierung von Defektformen zur rechnergestützten Ausbeutevorhersage”, in Proc. GI/GME/ITG-Fachtagung “Rechnergestützter Entwurf und Architektur mikroelekronischer Systeme”, Oberwiesenthal, 1994, S. 218–219

    Google Scholar 

  129. C. Hess, A. P. Ströle, “Modeling of Real Defect Outlines and Parameter Extraction Using a Checkerboard Test Structure to Localize Defects”, IEEE Transactions on Semiconductor Manufacturing, vol. 7, no. 3, Aug. 1994, pp. 284–292

    Article  Google Scholar 

  130. C. Hess, L. H. Weiland, “Teststrukturen zur Bestimmung von Defektparametern für hochintegrierte Schaltungen”, Diplomarbeit am Institut für Rechnerentwurf und Fehlertoleranz, Universität Karlsruhe, 1992

    Google Scholar 

  131. C. Hess, L. H. Weiland, “Influence of Short Circuits on Data of Contact& Via Open Circuits Determined by a Novel Weave Test Structure”, IEEE Transactions on Semiconductor Manufacturing, vol. 9, no. 1, 1996, pp. 27–34

    Article  Google Scholar 

  132. S. Hellebrand, H.-J. Wunderlich, “An Efficient Procedure for the Synthesis of Fast Self-Testable Controller Structures”, in Proc. International Conference on CAD, 1994, pp. 110–116

    Google Scholar 

  133. S. J. Hong, “Fault Simulation Strategy for Combinational Logic Networks”, International Symposium on Fault-Tolerant Computing, Toulouse, 1978, pp. 96–99

    Google Scholar 

  134. P. D. Hortensius, R. D. McLeod, W. Pries, D. M. Miller, H. C. Card, “Cellular Automata-Based Pseudorandom Number Generators for Built-in Self-Test”, IEEE Transactions on CAD, vol. 8, no. 8, Aug. 1989, pp. 842–859

    Google Scholar 

  135. H. Harmanani, C. Papachristou, S. Chiu, M. Nourani “SYNTEST: An Environment for System-Level Design for Test”, in Proc. European Design Automation Conference (EURO-DAC), 1992, pp. 402–407

    Google Scholar 

  136. S. Hellebrand, B. Reeb, S. Tarnick, H.-J. Wunderlich, “Pattern Generation for a Deterministic BIST Scheme”, in Proc. International Conference on CAD, 1995, pp. 88–94

    Google Scholar 

  137. F. F. Hsu, J. H. Patel, “A Distance Reduction Approach to Design for Testability”, in Proc. VLSI Test Symposium, 1995, pp. 158–163

    Google Scholar 

  138. C. L. Hudson, G. D. Peterson, “Parallel Self-Test with Pseudo-Random Test Patterns”, in Proc. International Test Conference, 1987, pp. 954–963

    Google Scholar 

  139. O. H. Ibarra, S. K. Sahni, “Polynomially Complete Fault Detection Problems”, IEEE Transactions on Computers, vol. 24, no. 3, March 1975, pp. 242–249

    Article  MathSciNet  MATH  Google Scholar 

  140. IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Std 1149.1-1990, May 21, 1990

    Google Scholar 

  141. R. Illman, S. Clarke, “Built-in Self-Test of the Macrolan Chip”, IEEE Design&Test, vol. 7, no. 2, April 1990, pp. 29–40

    Article  Google Scholar 

  142. A. Ivanov, V. K. Agarwal, “An Analysis of the Probabilistic Behavior of Linear Feedback Signature Registers”, IEEE Transactions on CAD, vol. 8, no. 10, Oct. 1989, pp. 1074–1088

    Google Scholar 

  143. A. Ivanov, C. W. Starke, V. K. Agarwal, W. Daehn, M. Gruetzner, T. W. Williams, “Iterative Algorithms for Computing Aliasing Probabilities”, IEEE Transactions on CAD, vol. 10, no. 2, Febr. 1991, pp. 260–265

    Google Scholar 

  144. K. Iwasaki, F. Arakawa, “An Analysis of the Aliasing Probability of Multiple-Input Signature Registers in the Case of a 2m-ary Symmetric Channel”, IEEE Transactions on CAD, vol. 9, no. 4, April 1990, pp. 427–438

    Google Scholar 

  145. V. S. Iyengar, D. Brand, “Synthesis of Pseudo-Random Pattern Testable Designs”, in Proc. International Test Conference, 1989, pp. 501–508

    Google Scholar 

  146. M. Jacomet, “FANTESTIC: Towards a Powerful Fault Analysis and Test Pattern Generator for Integrated Circuits”, in Proc. International Test Conference, 1989, pp. 633–642

    Google Scholar 

  147. A. Jee, F. J. Ferguson, “CARAFE: An Inductive Fault Analysis Tool for CMOS VLSI Circuits”, in Proc. VLSI Test Symposium, 1993, pp. 92–98

    Google Scholar 

  148. N. A. Jones, K. Baker, “An Intelligent Knowledge-Based System Tool for High-Level BIST Design”, in Proc. International Test Conference, 1986, pp. 743–749

    Google Scholar 

  149. J.-Y. Jou, K.-T. Cheng, “Timing Driven Partial Scan”, in Proc. International Conference on CAD, 1991, pp. 404–407

    Google Scholar 

  150. W.-B. Jone, C. A. Papachristou, M. Pereira, “A Scheme for Overlaying Concurrent Testing of VLSI Circuits”, in Proc. ACM/IEEE Design Automation Conference, 1989, pp. 531–536

    Google Scholar 

  151. N. K. Jha, I. Pomeranz, S. M. Reddy, R. J. Miller, “Synthesis of Multi-Level Combinational Circuits for Complete Robust Path Delay Fault Testability”, in Proc. International Symposium on Fault-Tolerant Computing, 1992, pp. 280–287

    Google Scholar 

  152. J. Kalinowski, A. Albicki, J. Beausang, “Test Control Signal Distribution in Self-Testing VLSI Circuits”, in Proc. International Conference on CAD, 1986, pp. 60–63

    Google Scholar 

  153. S. Kanjilal, S. T. Chakradhar, V. D. Agrawal, “Test Function Embedding Algorithms with Application to Interconnected Finite State Machines”, IEEE Transactions on CAD, vol. 14, no. 9, Sept. 1995, pp. 1115–1127

    Google Scholar 

  154. S. Kanjilal, S. T. Chakradhar, V. D. Agrawal, “A Partition and Resynthesis Approach to Testable Design of Large Circuits”, IEEE Transactions on CAD, vol. 14, no. 10, Oct. 1995, pp. 1268–1276

    Google Scholar 

  155. M. G. Karpovsky, S. K. Gupta, D. K. Pradhan, “Aliasing and Diagnosis Probability in MISR and STUMPS Using a General Error Model”, in Proc. International Test Conference, 1991, pp. 828–839

    Google Scholar 

  156. T. Kameda, S. Pilarski, A. Ivanov, “Notes on Multiple Input Signature Analysis”, IEEE Transactions on Computers, vol. 42, no. 2, Feb. 1993, pp. 228–234

    Article  Google Scholar 

  157. D. Kagaris, S. Tragoudas, D. Bhatia, “Pseudo-Exhaustive Built-in TPG for Sequential Circuits”, IEEE Transactions on CAD, vol. 14, no. 9, Sept. 1995, pp. 1160–1170

    Google Scholar 

  158. D. Kagaris, S. Tragoudas, “Cost-Effective LFSR Synthesis for Optimal Pseudoexhaustive BIST Test Sets”, IEEE Transactions on VLSI Systems, vol. 1, no. 4, Dec. 1993, pp. 526–536

    Article  Google Scholar 

  159. D. Kagaris, S. Tragoudas, “Retiming-Based Partial Scan”, IEEE Transactions on Computers, vol. 45, no. 1, Jan. 1996, pp.74–87

    Article  MATH  Google Scholar 

  160. J. Keitel, “Regeln für einen zellularen Automaten zur Erzeugung ungleich-verteilter Bitfolgen”, Studienarbeit am Institut für Rechnerentwurf und Fehlertoleranz, Universität Karlsruhe, 1995

    Google Scholar 

  161. M. Khare, A. Albicki, “Cellular Automata used for Test Pattern Generation”, in Proc. International Conference on Computer Design, 1987, pp. 56–59

    Google Scholar 

  162. T. Kim, K.-S. Chung, C. L. Liu, “A Stepwise Refinement Data Path Synthesis Procedure for Easy Testability”, in Proc. European Design and Test Conference, 1994, pp. 586–590

    Google Scholar 

  163. K. Kim, D. S. Ha, J. G. Tront, “On Using Signature Registers as Pseudorandom Pattern Generators in Built-in Self-Testing”, IEEE Transactions on CAD, vol. 7, no. 8, Aug. 1988, pp. 919–928

    Google Scholar 

  164. K. S. Kim, C. R. Kime, “Partial Scan by Use of Empirical Testability”, in Proc. International Conference on CAD, 1990, pp. 314–317

    Google Scholar 

  165. C. R. Kime, K. K. Saluja, “Test Scheduling in Testable Circuits”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-12), 1982, pp. 406–412

    Google Scholar 

  166. K. Kim, J. G. Tront, D. S. Ha, “Automatic Insertion of BIST Hardware Using VHDL”, in Proc.ACM/IEEE Design Automation Conference, 1988, pp. 9–15

    Google Scholar 

  167. K. Kim, J. G. Tront, D. S. Ha, “BIDES: A BIST Design Expert System”, Journal of Electronic Testing: Theory and Applications, vol. 2, no. 2, May 1991, pp. 165–179

    Article  Google Scholar 

  168. D. E. Knuth, “The Art of Computer Programming”, vol. 2, 2nd edition, Addison-Wesley, Reading, 1981

    MATH  Google Scholar 

  169. M. Kohm, “Konstruktion von zellularen Automaten zur Erzeugung vorgegebener Mustermengen”, Diplomarbeit am Institut für Rechnerentwurf und Fehlertoleranz, Universität Karlsruhe, 1994

    Google Scholar 

  170. B. Koenemann, J. Mucha, G. Zwiehoff, “Built-In Logic Block Observation Techniques”, in Proc. Test Conference, Cherry Hill NJ, 1979, pp. 37–41

    Google Scholar 

  171. B. Könemann, “LFSR-Coded Test Patterns for Scan Designs”, in Proc. European Test Conference, 1991, pp. 237–242

    Google Scholar 

  172. B. Könemann, “Pattern Skipping Method for Weighted Random Pattern Testing”, in Proc. European Test Conference, 1993, pp. 418–425

    Google Scholar 

  173. R. Kapur, S. Patil, T. J. Snethen, T. W. Williams, “Design of an Efficient Weighted Random Pattern Generation System”, in Proc. International Test Conference, 1994, pp. 491–500

    Google Scholar 

  174. A. Krasniewski, A. Albicki, “Automatic Design of Exhaustively Self-Testing Chips with BILBO Modules”, in Proc. International Test Conference, 1985, pp. 362–371

    Google Scholar 

  175. A. Krasniewski, S. Pilarski, “Circular Self-Test Path: A Low Cost BIST Technique for VLSI Circuits”, IEEE Transactions on CAD, vol. 8, no. 1, Jan. 1989, pp. 46–55

    Google Scholar 

  176. T.-Y. Kuo, C.-Y. Liu, K. K. Saluja, “An Optimized Testable Architecture for Finite State Machines”, in Proc. VLSI Test Symposium, 1995, pp. 164–169

    Google Scholar 

  177. A. Kunzmann, S. Kriebel, “Self-Test with Deterministic Test Pattern Generators”, in Proc. IFIP-Workshop on Logic and Architecture Synthesis, 1994, pp. 377–388

    Google Scholar 

  178. S.-Y. Kuo, “YOR: A Yield-Optimizing Routing Algorithm by Minimizing Critical Areas and Vias”, IEEE Transactions on CAD, vol. 12, no. 9, 1986, pp. 1303–1311

    Google Scholar 

  179. S. Kundu, S. M. Reddy, N. K. Jha, “Design of Robust Testable Combinational Logic Circuits”, IEEE Transactions on CAD, vol. 10, no. 8, Aug. 1991, pp. 1036–1048

    Google Scholar 

  180. A. Kunzmann, H.-J. Wunderlich, “An analytical approach to the partial scan problem”, in Journal of Electronic Testing: Theory and Applications, vol. 1, no. 2, May 1990, pp. 163–174

    Article  Google Scholar 

  181. R. Lisanke, F. Brglez, A. de Geus, D. Gregory, “Testability-Driven Random Pattern Generation”, in Proc. International Conference on CAD, 1986, pp. 144–147

    Google Scholar 

  182. J. J. LeBlanc, “LOCST: A Built-in Self-Test Technique”, IEEE Design&Test, vol. 2, no. 4, Nov. 1984, pp. 45–52

    Article  Google Scholar 

  183. M. Lempel, S. K. Gupta, M. A. Breuer, “Test Embedding with Discrete Logarithms”, IEEE Transactions on CAD, vol. 14, no. 5, May 1995, pp. 554–566

    Google Scholar 

  184. T.-C. Lee, N. K. Jha, W. H. Wolf, “Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments”, in Proc. ACM/IEEE Design Automation Conference, 1993, pp. 292–297

    Google Scholar 

  185. T.-C. Lee, N. K. Jha, W. H. Wolf, “A Conditional Resource Sharing Method for Behavioral Synthesis of Highly Testable Data Paths”, in Proc. International Test Conference, 1993, pp. 744–753

    Google Scholar 

  186. S. Lejmi, B. Kaminska, B. Ayari, “Synthesis and Retiming for the Pseudo-Exhaustive BIST of Synchronous Sequential Circuits”, in Proc. International Test Conference, 1995, pp. 683–692

    Google Scholar 

  187. D. H. Lee, S. M. Reddy, “On Determining Scan Flip-Flops in Partial-Scan Designs”, in Proc. International Conference on CAD, 1990, pp. 322–325

    Google Scholar 

  188. C. E. Leiserson, J. B. Saxe, “Retiming Synchronous Circuitry”, Algorithmica, vol. 6, no. 1, 1991, pp. 5–35

    Article  MathSciNet  MATH  Google Scholar 

  189. H. Levy, “A Contraction Algorithm for Finding Small Cycle Cutsets”, Journal of Algorithms, vol. 9, 1988, pp. 470–493

    Article  MathSciNet  MATH  Google Scholar 

  190. T.-C. Lee, W. H. Wolf, N. K. Jha, “Behavioral Synthesis for Easy Testability in Data Path Scheduling”, in Proc. International Conference on CAD, 1992, pp. 616–619

    Google Scholar 

  191. J.-C. Lien, M. A. Breuer, “Maximal Diagnosis for Wiring Networks”, in Proc. International Test Conference, 1991, pp. 96–105

    Google Scholar 

  192. S.-P. Lin, S. K. Gupta, M. A. Breuer, “A Low Cost BIST Methodology and Associated Novel Test Pattern Generator”, in Proc. European Design and Test Conference, 1994, pp. 106–112

    Google Scholar 

  193. S.-P. Lin, C. A. Njinda, M. A. Breuer, “A Systematic Approach for Designing Testable VLSI Circuits”, in Proc. International Conference on CAD, 1991, pp. 496–499

    Google Scholar 

  194. C.-J. Lin, Y. Zorian, S. Bhawmik, “PSBIST: A Partial-Scan Based Built-in Self-Test Scheme”, in Proc. International Test Conference, 1993, pp. 507–516

    Google Scholar 

  195. C.-C. Lin, M. T.-C. Lee, M. Marek-Sadowska, K.-C. Chen, “Cost-Free Scan: A Low-Overhead Scan Path Design Methodology”, in Proc. International Conference on CAD, 1995, pp. 528–533

    Google Scholar 

  196. E. L. Lloyd, M. L. Soffa, “On Locating Minimum Feedback Vertex Sets”, Journal of Computer and System Sciences, vol. 37, 1988, pp. 292–311

    Article  MathSciNet  MATH  Google Scholar 

  197. W. Lukaszek, W. Yarbrough, T. Walker, J. Meindl, “CMOS Test Chip Design for Process Problem Debugging and Yield Prediction Experiments”, Solid State Technology, 1986, pp. 87–92

    Google Scholar 

  198. U. Mahlstedt, J. Alt, M. Heinitz, “CURRENT: A Test Generation System for IDDQ Testing”, in Proc. VLSI Test Symposium, 1995, pp. 317–323

    Google Scholar 

  199. S. Ma, P. Franco, E. McCluskey, “An Experimental Chip to Evaluate Test Techniques: Experimental Results”, in Proc. International Test Conference, 1995, pp. 663–672

    Google Scholar 

  200. J. Maierhofer, “Hierachical Self-Test Concept based on the JTAG Standard”, in Proc. International Test Conference, 1990, pp. 127–134

    Google Scholar 

  201. J. S. Matos, F. S. Pinto, J. M. Ferreira, “A Boundary Scan Test Controller for Hierarchical BIST”, in Proc. International Test Conference, 1992, pp. 217–223

    Google Scholar 

  202. P. Marwedel, W. Rosenstiel, “Synthese von Register-Transfer-Strukturen aus Verhaltensbeschreibungen”, Informatik-Spektrum, Bd. 15, Heft 1, Feb. 1992, S. 5–22

    Google Scholar 

  203. B. Mathew, D. G. Saab, “Partial Reset: An Inexpensive Design for Testability Approach”, in Proc. European Design and Test Conference, 1993, pp. 151–155

    Google Scholar 

  204. B. Mathew, D. G. Saab, “Augmented Partial Reset”, in Proc. International Conference on CAD, 1993, pp. 716–719

    Google Scholar 

  205. B. Mathew, D. G. Saab, “DFT& ATPG: Together Again”, in Proc. International Test Conference, 1995, pp. 262–271

    Google Scholar 

  206. E. J. McCluskey, S. Bozorgui-Nesbat, “Design for Autonomous Test”, IEEE Transactions on Computers, vol. 30, no. 11, Nov. 1981, pp. 866–874

    Article  Google Scholar 

  207. E. McCluskey, F. Buelow, “IC Quality and Test Transparency”, in Proc. International Test Conference, 1988, pp. 295–301

    Google Scholar 

  208. E. J. McCluskey, “Logic Design Principles with Emphasis on Testable Semicustom Circuits”, Prentice-Hall, Englewood Cliffs, 1986

    Google Scholar 

  209. M. C. McFarland, A. C. Parker, R. Camposano, “The High-Level Synthesis of Digital Systems”, Proceedings of the IEEE, vol. 78, no. 2, Feb. 1990, pp. 301–318

    Article  Google Scholar 

  210. M. A. Miranda, C. A. López-Barrio, “Generation of Optimized Single Distributions of Weights for Random Built-in Self-Test”, in Proc. International Test Conference, 1993, pp. 1023–1030

    Google Scholar 

  211. D. M. Miller, J. C. Muzio, “Spectral Techniques for Fault Detection in Combinational Logic”, in: M. G. Karp (ed.), “Spectral Techniques and Fault Detection”, Academic Press, Orlando, Florida, 1985

    Google Scholar 

  212. N. Mukherjee, M. Kassab, J. Rajski, J. Tyszer, “Arithmetic Built-in Self Test for High-Level Synthesis”, in Proc. VLSI Test Symposium, 1995, pp. 132–139

    Google Scholar 

  213. S. P. Morley, R. A. Marlett, “Selectable Length Partial Scan: A Method to Reduce Vector Length”, in Proc. International Test Conference, 1991, pp. 385–392

    Google Scholar 

  214. F. Muradali, V. K. Agrawal, B. Nadeau-Dostie, “A New Procedure for Weighted Random Built-in Self-Test”, in Proc. International Test Conference, 1990, pp. 660–669

    Google Scholar 

  215. B. T. Murray, J. P. Hayes, “Hierarchical Test Generation Using Precomputed Tests for Modules”, IEEE Transactions on CAD, vol. 9, no. 6, June 1990, pp. 594–603

    Google Scholar 

  216. A. Mujumdar, R. Jain, K. Saluja, “Behavioral Synthesis of Testable Designs”, in Proc. International Symposium on Fault-Tolerant Computing, 1994, pp. 436–445

    Google Scholar 

  217. D. Mukherjee, M. Pedram, M. Breuer, “Merging Multiple FSM Controllers for DFT/BIST Hardware”, in Proc. International Conference on CAD, 1993, pp. 720–725

    Google Scholar 

  218. D. Mukherjee, M. Pedram, M. A. Breuer, “Control Strategies for Chip-Based DFT/BIST Hardware”, in Proc. International Test Conference, 1994, pp. 893–902

    Google Scholar 

  219. S. Muroga, “VLSI System Design”, Wiley, New York, 1982

    Google Scholar 

  220. N. Mukherjee, J. Rajski, J. Tyszer, “On Testable Multipliers for Fixed-Width Data Path Architectures”, in Proc. International Conference on CAD, 1995, pp. 541–547

    Google Scholar 

  221. A. Mujumdar, K. Saluja, R. Jain, “Incorporating Testability Considerations in High-Level Synthesis”, in Proc. International Symposium on Fault-Tolerant Computing, 1992, pp. 272–279

    Google Scholar 

  222. S. Narayanan, M. A. Breuer: “Reconfiguration Techniques for a Single Scan Chain”, IEEE Transactions on CAD, vol. 14, no. 6, June 1995, pp. 750–765

    Google Scholar 

  223. S. Narayanan, R. Gupta, M. A. Breuer, “Optimal Configuring of Multiple Scan Chains”, IEEE Transactions on Computers, vol. 42, no. 9, Sept. 1993, pp. 1121–1131

    Article  Google Scholar 

  224. L. W. Nagel, “SPICE2: A Computer Program to Simulate Semiconductor Circuits and Systems”, Memo ERL-M520, University of California, Berkeley, May 1975.

    Google Scholar 

  225. S. Narayanan, C. Njinda, M. Breuer, “Optimal Sequencing of Scan Registers”, in Proc. International Test Conference, 1992, pp. 293–302

    Google Scholar 

  226. S. Nandi, P. Pal Chaudhuri, “Additive Cellular Automata as an On-Chip Test Pattern Generator”, in Proc. Asian Test Symposium, 1993, pp. 166–171

    Google Scholar 

  227. S. Nandi, P. Pal Chaudhuri, “Analysis of Periodic and Intermediate Boundary 90/150 Cellular Automata”, IEEE Transactions on Computers, vol. 45, no. 1, Jan. 1996, pp. 1–12

    Article  MathSciNet  MATH  Google Scholar 

  228. D. J. Neebel, C. R. Kime, “Inhomogeneous Cellular Automata for Weighted Random Pattern Generation”, in Proc. International Test Conference, 1993, pp. 1013–1022

    Google Scholar 

  229. D. J. Neebel, C. R. Kime, “Multiple Weighted Cellular Automata”, in Proc. VLSI Test Symposium, 1994, pp. 81–86

    Google Scholar 

  230. P. Nigh, W. Maly, “Layout-Driven Test Generation”, in Proc. International Conference on CAD, 1989, pp. 154–157

    Google Scholar 

  231. T. M. Niermann, J. H. Patel, “HITEC: A Test Generation Package for Sequential Circuits”, in Proc. European Design Automation Conference (EDAC), 1991, pp. 214–218

    Google Scholar 

  232. C. A. Njinda, N. Kaul, “Performance Driven BIST Technique for Random Logic”, in Proc. International Test Conference, 1995, pp. 524–533

    Google Scholar 

  233. OCTTOOLS-5.2 User’s Guide, Department of Electrical Engineering and Computer Science, University of California, Berkeley, May 1993

    Google Scholar 

  234. M. J. Ohletz, T. W. Williams, J. P. Mucha, “Overhead in Scan and Self-Test Designs”, in Proc. International Test Conference, 1987, pp. 460–470

    Google Scholar 

  235. A. Orailoglu, I. G. Harris, “Test Path Generation and Test Scheduling for Self-Testable Designs”, in Proc. International Conference on Computer Design, 1993, pp. 528–531

    Google Scholar 

  236. T. Orenstein, Z. Kohavi, I. Pomeranz, “An Optimal Algorithm for Cycle Breaking in Directed Graphs”, Journal of Electronic Testing: Theory and Applications, vol. 7, no. 1/ 2, Aug./Oct. 1995, pp. 71–82

    Article  Google Scholar 

  237. J. K. Ousterhout, “Corner Stitching: A Data-Structuring Technique for VLSI Layout Tools”, IEEE Transactions on CAD, vol. 3. no. 1, Jan. 1984, pp. 87–100

    Google Scholar 

  238. C. Papachristou, J. Carletta, “Test Synthesis in the Behavioral Domain”, in Proc. International Test Conference, 1995, pp. 693–702

    Google Scholar 

  239. C. A. Papachristou, S. Chiu, H. Harmanani, “A Data Path Synthesis Method for Self-Testable Designs”, in Proc. ACM/IEEE Design Automation Conference, 1991, pp. 378–384

    Google Scholar 

  240. I. Parulkar, S. Gupta, M. A. Breuer, “Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead”, in Proc. ACM/IEEE Design Automation Conference, 1995, pp. 395–401

    Google Scholar 

  241. P. Pan, C. L. Liu, “Partial Scan with Pre-Selected Scan Signals”, in Proc. ACM/IEEE Design Automation Conference, 1995, pp. 189–194

    Google Scholar 

  242. S. Pateras, J. Rajski, “Cube-Contained Random Patterns and their Application to the Complete Testing of Synthesized Multi-Level Circuits”, in Proc. International Test Conference, 1991, pp. 473–382

    Google Scholar 

  243. W. W. Peterson, E. J. Weldon, “Error-Correcting Codes”, 2. Auflage, MIT Press, Cambridge, 1972

    MATH  Google Scholar 

  244. S. Pilarski, A. Ivanov, T. Kameda, “On Minimizing Aliasing in Scan-Based Compaction”, Journal of Electronic Testing: Theory and Applications, vol. 5, no. 1. Feb. 1994, pp. 83–90

    Article  Google Scholar 

  245. S. Pilarski, T. Kameda, A. Ivanov, “Sequential Faults and Aliasing”, IEEE Transactions on CAD, vol. 12, no. 7, July 1993, pp. 1068–1074

    Google Scholar 

  246. S. Pilarski, A. Krasniewski, T. Kameda, “Estimating Testing Effectiveness of the Circular Self-Test Path Technique”, IEEE Transactions on CAD, vol. 11, no. 10, Oct. 1992, pp.1301–1316

    Google Scholar 

  247. S. Pilarski, K. Wiebe, “On Counter-Based Compaction”, in Proc. International Symposium on Circuits and Systems (ISCAS), 1991, pp. 1885–1888

    Google Scholar 

  248. S. Pilarski, K. Wiebe, “Counter-Based Compaction: An Analysis for BIST”, in Journal of Electronic Testing: Theory and Applications, vol. 3, no. 1, Feb. 1992, pp. 33–43

    Article  Google Scholar 

  249. M. Potkonjak, S. Dey, “Optimizing Resource Utilization and Testability Using Hot Potato Techniques”, in Proc. ACM/IEEE Design Automation Conference, 1994, pp. 201–205

    Google Scholar 

  250. M. Potkonjak, S. Dey, R. K. Roy, “Considering Testability at Behavioral Level: Use of Transformations for Partial Scan Cost Minimization Under Timing and Area Constraints”, IEEE Transactions on CAD, vol. 14, no. 5, May 1995, pp. 531–546

    Google Scholar 

  251. M. Potkonjak, S. Dey, R. K. Roy, “Behavioral Synthesis of Area-Efficient Testable Designs Using Interaction between Hardware Sharing and Partial Scan”, IEEE Transactions on CAD, vol. 14, no. 9, Sept. 1995, pp. 1141–1154

    Google Scholar 

  252. M. M. Pradhan, E. J. O’Brien, S. L. Lam, J. Beausang, “Circular BIST with Partial Scan”, in Proc. International Test Conference, 1988, pp. 719–729

    Google Scholar 

  253. I. Pomeranz, S. M. Reddy, “3-Weight Pseudo-Random Test Generation Based on a Deterministic Test Set for Combinational and Sequential Circuits”, IEEE Transactions on CAD, vol. 12, no. 7, July 1993, pp. 1050–1058

    Google Scholar 

  254. I. Pomeranz, S. M. Reddy, “Achieving Complete Delay Fault Testability by Extra Inputs”, in Proc. International Test Conference, 1991, pp. 273–282

    Google Scholar 

  255. I. Pomeranz, S. M. Reddy: “On the Role of Hardware Reset in Synchronous Sequential Circuit Test Generation”, IEEE Transactions on Computers, vol. 43, no. 9, Sept. 1994, pp. 1100–1105

    Article  MATH  Google Scholar 

  256. D. K. Pradhan, M. Chatterjee, “GLFSR — A New Test Pattern Generator for Built-in Self-Test”, in Proc. International Test Conference, 1994, pp. 481–490

    Google Scholar 

  257. D. K. Pradhan, S. K. Gupta, M. K. Karpovsky, “Aliasing Probability for Multiple Input Signature Analyzer”, IEEE Transactions on Computers, vol. 39, no. 4, April 1990, pp. 586–591

    Article  Google Scholar 

  258. W. Pries, A. Thanailakis, H. C. Card, “Group Properties of Cellular Automata and VLSI Applications”, IEEE Transactions on Computers, vol. 35, no. 12, Dec. 1986, pp. 1013–1024

    Article  MATH  Google Scholar 

  259. E. S. Park, B. Underwood, T. W. Williams, M. R. Mercer, “Delay Testing Quality in Timing-Optimized Designs”, in Proc. International Test Conference, 1991, pp. 897–905

    Google Scholar 

  260. K. Roy, J. A. Abraham, K. De, S. Lusky, “Synthesis of Delay Fault Testable Combinational Logic”, in Proc. International Conference on CAD, 1989, pp. 418–421

    Google Scholar 

  261. A. S. Rappaport, “The Great ATE Robbery”, Key Note Address, International Test Conference, 1992

    Google Scholar 

  262. N. S. V. Rao, S. Toida, “On Polynomial-Time Testable Combinational Circuits”, IEEE Transactions on Computers, vol. 43, no. 11, Nov. 1994, pp. 1298–1308

    Article  MathSciNet  MATH  Google Scholar 

  263. J. Rajski, J. Tyszer, “Experimental analysis of fault coverage in systems with signature registers”, in Proc. European Test Conference, 1991, pp. 45–51

    Google Scholar 

  264. J. Rajski, J. Tyszer, “Test Response Compaction in Accumulators with Rotate Carry Adders”, IEEE Transactions on CAD, vol. 12, no. 4, April 1993, pp. 531–539

    Google Scholar 

  265. J. Rajski, J. Tyszer, “Accumulator-Based Compaction of Test Responses”, IEEE Transactions on Computers, vol. 42, no. 6, June 1993, pp. 643–650

    Article  Google Scholar 

  266. J. Rajski, J. Tyszer, “Multiplicative Window Generators of Pseudorandom Test Vectors”, in Proc. European Design and Test Conference, 1996, pp. 42–48

    Google Scholar 

  267. B. Reeb, H.-J. Wunderlich, “Deterministic Pattern Generation for Weighted Random Pattern Testing”, in Proc. European Design and Test Conference, 1996, pp. 30–36

    Google Scholar 

  268. W. Rosenstiel, R. Camposano, “Rechnergestützer Entwurf hochintegrierter MOS-Schaltungen”, Springer, Berlin, 1989

    Google Scholar 

  269. J. P. Robinson, N. R. Saxena, “A Unified View of Test Compression Methods”, IEEE Transactions on Computers, vol. 36, no. 1, Jan. 1987, pp. 94–99

    Article  Google Scholar 

  270. J. P. Roth, “Diagnosis of Automata Failures: A Calculus and a Method”, IBM Journal of Research and Development, vol. 10, no. 4, July 1966, pp. 278–291

    Article  MATH  Google Scholar 

  271. J. van Sas, F. Catthoor, H. de Man, “Cellular Automata Based Self-Test for Programmable Data Paths”, in Proc. International Test Conference, 1990, pp. 769–778

    Google Scholar 

  272. J. van Sas, F. Catthoor, H. de Man, “Optimized BIST Strategies for Programmable Data Paths Based on Cellular Automata”, in Proc. International Test Conference, 1992, pp. 110–119

    Google Scholar 

  273. J. Y. Sayah, C. R. Kime, “Test Scheduling in High Performance VLSI System Implementations”, IEEE Transactions on Computers, vol. 41, no. 1, Jan. 1992, pp. 52–67

    Article  Google Scholar 

  274. S. Sastry, A. Majumdar, “Test Efficiency of Random Self-Test of Sequential Circuits”, IEEE Transactions on CAD, vol. 10, no. 3, March 1991, pp. 390–398

    Google Scholar 

  275. J. Savir, W. McAnney, “On the Masking Probability with One’s Count and Transition Count”, in Proc. International Conference on CAD, 1985, pp. 111–113

    Google Scholar 

  276. J. Savir, S. Patil, “Scan-Based Transition Test”, IEEE Transactions on CAD, vol. 12, no. 8, Aug. 1993, pp. 1232–1241

    Google Scholar 

  277. J. Savir, S. Patil, “Broad-Side Delay Test”, IEEE Transactions on CAD, vol. 13, no. 8, Aug. 1994, pp. 1057–1064

    Google Scholar 

  278. J. Saxena, D. K. Pradhan, “Signature Analysis under a Delay Fault Model”, in Proc. European Design Automation Conference, 1992, pp. 285–290

    Google Scholar 

  279. J. Savir, “Syndrom-Testable Design of Combinational Circuits”, IEEE Transactions on Computers, vol. 29, no. 6, June 1980, pp. 442–451

    Article  MathSciNet  MATH  Google Scholar 

  280. M. H. Schulz, E. Trischler, T. M. Sarfert, “SOCRATES: A Highly Efficient Automatic Test Pattern Generation System”, IEEE Transactions on CAD, vol. 7, no. 1, Jan. 1988, pp. 126–137

    Google Scholar 

  281. E. M. Sentovich, K. J. Singh, C. Moon, H. Savoj, R. K. Brayton, A. Sangiovanni-Vincentelli, “Sequential Circuit Design Using Synthesis and Optimization”, in Proc. International Conference on Computer Design, 1992, pp. 328–333

    Google Scholar 

  282. B. H. Seiss, P. M. Trouborst, M. H. Schulz, “Test Point Insertion for Scan-Based BIST”, in Proc. European Test Conference, 1991, pp. 253–262

    Google Scholar 

  283. J. J. Shedletsky, “Comment on the Sequential and Indeterminate Behavior of an End-Around-Carry Adder”, IEEE Transactions on Computers, vol. 26, no. 3, March 1977, pp. 271–272

    Article  Google Scholar 

  284. J. J. Shedletsky, “Random Testing: Practicality vs. Verified Effectiveness”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-7), 1977, pp. 175–179

    Google Scholar 

  285. W. Shi, W. K. Fuchs, “Optimal Interconnect Diagnosis of Wiring Networks”, IEEE Transactions on VLSI Systems, vol. 3, no. 3, Sept. 1995, pp. 430–436

    Article  Google Scholar 

  286. J. P. Shen, W. Maly, F. J. Ferguson, “Inductive Fault Analysis of MOS Integrated Circuits”, IEEE Design&Test, vol. 2, no. 6, 1985, pp. 13–26

    Article  Google Scholar 

  287. J. E. Smith, “Measures of the Effectiveness of Fault Signature Analysis”, IEEE Transactions on Computers, vol. 29, no. 6, June 1980, pp. 510–514

    Article  MATH  Google Scholar 

  288. G. L. Smith, “Model for Delay Faults Based upon Paths”, in Proc. International Test Conference, 1985, pp. 342–349

    Google Scholar 

  289. G. Spiegel, “Fault Probabilities in Routing Channels of VLSI Standard Cell Designs”, in Proc. VLSI Test Symposium, 1994, pp. 340–347

    Google Scholar 

  290. G. Spiegel, “Bestimmung möglicher Fabrikationsfehler aus dem Schaltungslayout”, Dissertation, Verlag Dr. Kovac, Hamburg, 1995

    Google Scholar 

  291. G. Spiegel, A. P. Ströle, “Optimization of Deterministic Test Sets Using an Estimation of Product Quality”, in Proc. Asian Test Symposium, 1993, pp. 119–124

    Google Scholar 

  292. G. Spiegel, A. P. Ströle, “Optimierung von Testkosten und Produktqualität unter Verwendung von Fehlerwahrscheinlichkeiten”, 6. E.LS.-Workshop, Tübingen, 1993, S. 265–268 (Tagungsband 1994 als GMD-Studie 227 erschienen)

    Google Scholar 

  293. G. Spiegel, A. P. Ströle, “A Unified Approach to the Extraction of Realistic Multiple Bridging and Break Faults”, in Proc. European Design Automation Conference (EURO-DAC), 1995, pp. 184–189

    Google Scholar 

  294. G. Spiegel, A. P. Ströle, “Eine einheitliche Methode zur Extraktion einfacher und mehrfacher Brücken-und Unterbrechungsfehler”, 7. E.LS.-Workshop, Chemnitz, 1995, S. 119–128 (Tagungsband 1996 als GMD-Studie 280 erschienen)

    Google Scholar 

  295. R. Srinivasan, S. K. Gupta, M. A. Breuer, “Novel Test Pattern Generators for Pseudo-Exhaustive Testing”, in Proc. International Test Conference, 1993, pp. 1041–1050

    Google Scholar 

  296. M. Serra, T. Slater, J. C. Muzio, D. M. Miller, “The Analysis of One-Dimensional Linear Cellular Automata and Their Aliasing Properties”, IEEE Transactions on CAD, vol. 9, no. 7, July 1990, pp. 767–778

    Google Scholar 

  297. C. H. Stapper, “Modeling of Integrated Circuit Defect Sensitivities”, IBM Journal of Research and Development, vol. 27, no. 6, 1983, pp. 549–557

    Article  Google Scholar 

  298. C. H. Stapper, “Modeling of Defects in Integrated Circuits Photolithographic Patterns”, IBM Journal of Research and Development, vol. 28, no. 4, 1984, pp. 461–475

    Article  Google Scholar 

  299. C. H. Stapper, “Fault-simulation programs for integrated-circuit yield estimations”, IBM Journal of Research and Development, vol. 33, no. 6, 1989, pp. 647–652

    Article  Google Scholar 

  300. L. Stok, “False Loops through Resource Sharing”, in Proc. International Conference on CAD, 1992, pp. 345–348

    Google Scholar 

  301. H. S. Stone, “Discrete Mathematical Structures and Their Applications”, Science Research Associates, Chicago, 1973

    MATH  Google Scholar 

  302. C. E. Stroud, “An Automated BIST Approach for General Sequential Logic Synthesis”, in Proc. International Test Conference, 1988, pp. 3–8

    Google Scholar 

  303. A. P. Ströle, “Testplanung für selbsttestbare Datenpfade”, 2. ARIADNE-Workshop, Bonn, März 1992, S. 141–149 (Tagungsband als GMD-Studie 206 erschienen)

    Google Scholar 

  304. A. P. Ströle, “Self-Test Scheduling With Bounded Test Execution Time”, in Proc. International Test Conference, 1992, pp. 130–139

    Google Scholar 

  305. A. P. Ströle, “Testablaufplanung und Testauswertung für selbsttestbare Schaltungen”, VDI-Verlag, Düsseldorf, 1992

    Google Scholar 

  306. A. P. Ströle, “Reducing BIST Hardware by Test Schedule Optimization”, in Proc. First Asian Test Symposium, 1992, pp. 253–258

    Google Scholar 

  307. A. P. Ströle, “Optimized Self-Test Schedules”, in Proc. ARCHIMEDES-Workshop on ”Synthesis — Architectural Testability Support”, Montpellier, 1993, pp. 47–48

    Google Scholar 

  308. A. P. Ströle, “Partitioning and Hierarchical Description of Self-Testable Designs”, in Proc. International Conference on Very Large Scale Integration, (VLSI’93), 1993, pp. 3.2.1–3.2.10

    Google Scholar 

  309. A. P. Ströle, “Partitioning and Hierarchical Description of Self-Testable Designs”, EFTP Transactions A: Computer Science and Technology, vol. A-42, Elsevier Science / North-Holland, Amsterdam, 1994, pp. 113–122

    Google Scholar 

  310. A. P. Ströle, “Signature Analysis for Sequential Circuits with Reset”, in Proc. European Design and Test Conference, 1994, pp. 113–118

    Google Scholar 

  311. A. P. Ströle, “Signature Analysis and Aliasing for Sequential Circuits”, in Proc. VLSI Test Symposium, 1995, pp. 118–124

    Google Scholar 

  312. A. P. Ströle, “A Self-Test Approach Using Accumulators as Test Pattern Generators”, in Proc. International Symposium on Circuits and Systems (ISCAS), 1995, pp. 2120–2123

    Google Scholar 

  313. A. P. Ströle, “Testmustererzeugung mit arithmetischen Funktionseinheiten”, 7. E.I.S.-Workshop, Chemnitz, 1995, S. 109–118 (Tagungsband 1996 als GMD-Studie 280 erschienen)

    Google Scholar 

  314. A. P. Ströle, “Test Response Compaction using Arithmetic Functions”, in Proc. VLSI Test Symposium, 1996, pp. 380–386

    Google Scholar 

  315. A. P. Ströle, “Arithmetic Pattern Generators for Built-in Self-Test”, in Proc. International Conference on Computer Design, 1996, pp. 131–134

    Google Scholar 

  316. A. P. Ströle, “BIST Pattern Generators using Addition and Subtraction Operations”, Journal of Electronic Testing: Theory and Applications (JETTA), vol. 11, no. 1, Aug. 1997, pp. 69–80

    Article  MathSciNet  Google Scholar 

  317. A. P. Ströle, H.-J. Wunderlich, O. F. Haberl, “TESTCHIP: A Chip for Weighted Random Pattern Generation, Evaluation, and Test Control”, in Proc. European Solid-State Circuits Conference (ESSCIRC), 1990, pp. 101–104

    Google Scholar 

  318. A. P. Ströle, H.-J. Wunderlich, “Error Masking in Self-Testable Circuits”, in Proc. International Test Conference, 1990, pp. 544–552

    Google Scholar 

  319. A. P. Ströle, H.-J. Wunderlich, “Signature Analysis and Test Scheduling for Self-Testable Circuits”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-21) 1991, pp. 96–103

    Google Scholar 

  320. A. P. Ströle, H.-J. Wunderlich, “TESTCHIP: A Chip for Weighted Random Pattern Generation, Evaluation, and Test Control”, IEEE Journal of Solid State Circuits, vol. 26, no. 7, July 1991, pp. 1056–1063

    Article  Google Scholar 

  321. A. P. Ströle, H.-J. Wunderlich, “Testsynthese für Datenpfade”, in Proc. GI/GME/ITG-Fachtagung “Rechnergestützter Entwurf und Architektur mikroelekronischer Systeme”, Oberwiesenthal, 1994, S. 162–171

    Google Scholar 

  322. A. P. Ströle, H.-J. Wunderlich, “Configuring Flip-Flops to BIST Registers”, in Proc. International Test Conferenc, 1994, pp. 939–948

    Google Scholar 

  323. A. P. Ströle, H.-J. Wunderlich, “A Unified Method for Assembling Global Test Schedules”, in Proc. Asian Test Symposium, 1994, pp. 268–273

    Google Scholar 

  324. O. Stern, H.-J. Wunderlich, “Simulation Results of an Efficient Defect Analysis Procedure”, in Proc. International Test Conference, 1994, pp. 729–738

    Google Scholar 

  325. A. P. Ströle, H.-J. Wunderlich, “Test Register Insertion With Minimum Hardware Cost”, International Conference on CAD, 1995, pp. 95–101

    Google Scholar 

  326. A. K. Susskind, “Testing by Verifying Walsh Coefficients”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-11), 1981, pp. 206–208

    Google Scholar 

  327. G. Swan, Y. Trivedi, D. Wharton, “Crosscheck-A Practical Solution for ASIC Testability”, in Proc. International Test Conference, 1991, pp. 903–908

    Google Scholar 

  328. Y. Savaria, M. Youssef, B. Kaminska, M. Koudil, “Automatic Test Point Insertion for Pseudo-Random Testing”, International Symposium on Circuits and Systems (ISCAS), 1991, pp. 1960–1963

    Google Scholar 

  329. R. Tarjan, “Depth-First Search and Linear Graph Algorithms”, SIAM Journal of Computing, vol. 1, no. 2, June 1972, pp. 146–160

    Article  MathSciNet  MATH  Google Scholar 

  330. J. P. Teixeira, F. M. Goncalves, J. J. T. Sousa, “Layout-Driven Testability Enhancement”, in Proc. European Test Conference, 1991, pp. 101–109

    Google Scholar 

  331. K. M. Thompson, “Intel and the Myths of Test”, IEEE Design&Test, vol. 13, no. 1, 1996, pp. 79–81

    Article  Google Scholar 

  332. N. A. Touba, E. J. McCluskey, “Automated Logic Synthesis of Random Pattern Testable Circuits”, in Proc. International Test Conference, 1994, pp. 174–183

    Google Scholar 

  333. N. A. Touba, E. J. McCluskey, “Transformed Pseudo-Random Patterns for BIST”, in Proc. VLSI Test Symposium, 1995, pp. 410–416

    Google Scholar 

  334. N. A. Touba, E. J. McCluskey, “Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST”, in Proc. International Test Conference, 1995, pp. 674–682

    Google Scholar 

  335. N. A. Touba, E. J. McCluskey, “Test Point Insertion Based on Path Tracing”, in Proc. VLSI Test Symposium, 1996, pp. 2–8

    Google Scholar 

  336. E. Trischler, “Incomplete Scan Path with an Automatic Test Generation Methodology”, in Proc. International Test Conference, 1980, pp. 153–162

    Google Scholar 

  337. J. D. Ullman, “Computational Aspects of VLSI”, Computer Science Press, Rockville MD, 1984

    MATH  Google Scholar 

  338. S. J. Upadhyaya, L.-C. Chen, “On-Chip Test Generation for Combinational Circuits by LFSR Modification”, in Proc. International Conference on CAD, 1993, pp. 84–87

    Google Scholar 

  339. M. Vahidi, A. Orailoglu, “Metric Based Transformations for Self Testable VLSI Designs with High Test Concurrency”, in Proc. European Design Automation Conference (EURO-DAC), 1995, pp. 136–141

    Google Scholar 

  340. B. Vinnakota, N. K. Jha, “Synthesis of Sequential Circuits for Parallel Scan”, in Proc. European Design Automation Conference, 1992, pp. 366–370

    Google Scholar 

  341. T. Villa, A. Sangiovanni-Vincentelli, “NOVA: State Assignment of Finite State Machines for Optimal Two-Level Logic Implementations”, in Proc. Design Automation Conference, 1989, pp. 327–332

    Google Scholar 

  342. I. Voyiatzis, A. Paschalis, D. Nikolos, C. Halatsis, “Accumulator-Based BIST Approach for Stuck-Open and Delay Fault Testing”, in Proc. European Design & Test Conference, 1995, pp. 431–435

    Google Scholar 

  343. A. Vuksic, K. Fuchs, “A New BIST Approach for Delay Fault Testing”, in Proc. European Design and Test Conference, 1994, pp. 284–288

    Google Scholar 

  344. J. F. Wakerly, “One’s Complement Adder Eliminates Unwanted Zero”, Electronics, vol. 49, no. 3, Feb. 5, 1976, pp. 103–105

    Google Scholar 

  345. J. A. Waicukauski, E. Lindbloom, “Fault Detection Effectiveness of Weighted Random Patterns”, in Proc. International Test Conference, 1988, pp. 245–255

    Google Scholar 

  346. D. M. H. Walker, “Yield Simulation for Integrated Circuits”, Kluwer, Boston, 1987

    Google Scholar 

  347. L.-T. Wang, E. J. McCluskey, “Concurrent Built-in Logic Block Observer (CBILBO)”, in Proc. International Symposium on Circuits and Systems, 1986, pp. 1054–1057

    Google Scholar 

  348. L.-T. Wang, E. J. McCluskey, “Complete Feedback Shift Register Design for Built-in Self-Test”, in Proc. International Conference on CAD, 1986, pp. 56–59

    Google Scholar 

  349. N. Weste, K. Eshragian, “Principles of CMOS VLSI DEsign — A Systems Perspective”, Addison-Wesley, Reading, 1985

    Google Scholar 

  350. T. W. Williams, N. C. Brown, “Defect Level as a Function of Fault Coverage”, IEEE Transactions on Computers, vol. 30, no. 12, Dec. 1981, pp. 987–988

    Article  Google Scholar 

  351. T. W. Williams, W. Daehn, “Aliasing Errors in Multiple Input Signature Analysis Registers”, in Proc. European Test Conference, 1989, pp. 338–345

    Google Scholar 

  352. T. W. Williams, R. Kapur, M. R. Mercer, R. H. Dennard, W. Maly, “Iddq Testing for High Performance CMOS — The Next Ten Years”, in Proc. European Design and Test Conference, 1996, pp. 578–583

    Google Scholar 

  353. J. A. Waicukauski, E. Lindbloom, E. B. Eichelberger, O. P. Forlenza, “A Method for Generating Weighted Random Test Patterns”, IBM Journal of Research and Development, vol. 33, no. 2, March 1989, pp. 149–161

    Article  Google Scholar 

  354. S. Wolfram, “Statistical Mechanics of Cellular Automata”, Reviews of Modern Physics, vol. 55, no. 3, July 1983, pp. 601–644

    Article  MathSciNet  MATH  Google Scholar 

  355. E. Wu, “PEST: A Tool for Implementing Pseudo-Exhaustive Self-Test”, AT&T Technical Journal, vol. 70, no. 1, Jan./Feb. 1991, pp. 87–100

    Google Scholar 

  356. H.-J. Wunderlich, S. Hellebrand, “The Pseudoexhaustive Test of Sequential Circuits”, IEEE Transactions on CAD, vol. 11, no. 1, Jan. 1992, pp. 26–33

    Google Scholar 

  357. H.-J. Wunderlich, “PROTEST: A Tool for Probabilistic Testability Analysis”, in Proc. ACM/IEEE Design Automation Conference, 1985, pp. 204–211

    Google Scholar 

  358. H.-J. Wunderlich, “Self Test Using Unequiprobable Random Patterns”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-17), 1987, pp. 258–263

    Google Scholar 

  359. H.-J. Wunderlich, “On Computing Optimized Input Probabilities for Random Tests”, in Proc. ACM/IEEE Design Automation Conference, 1987, pp. 392–398

    Google Scholar 

  360. H.-J. Wunderlich, “The Design of Random-Testable Sequential Circuits”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-19), 1989, pp. 110–117

    Google Scholar 

  361. H.-J. Wunderlich, “Multiple Distributions for Biased Random Test Patterns”, IEEE Transactions on CAD, vol. 9, no. 6, June 1990, pp. 584–593

    Google Scholar 

  362. H.-J. Wunderlich, “Hochintegrierte Schaltungen: Prüfgerechter Entwurf und Test”, Springer, Berlin, 1991

    Book  Google Scholar 

  363. H.-J. Wunderlich, A. P. Ströle, “Maximizing the Fault Coverage in Complex Circuits by Minimal Number of Signatures”, in Proc. International Symposium on Circuits and Systems (ISCAS), 1991, pp. 1881–1884

    Google Scholar 

  364. D. Xavier, R. C. Aitken, A. Ivanov, V. K. Agarwal, “Using an asymmetric error model to study aliasing in signature analysis registers”, IEEE Transactions on CAD, vol. 11, no. 1, Jan. 1992, pp. 16–25

    Google Scholar 

  365. H. Xue, Ch. Di, J. A. G. Jess, “A Net-Oriented Method for Realistic Fault Analysis”, in Proc. International Conference on CAD, 1993, pp. 78–83

    Google Scholar 

  366. H. Xue, Ch. Di, J. A. G. Jess, “Probability Analysis for CMOS Floating Gate Faults”, in Proc. European Design and Test Conference, 1994, pp. 443–448

    Google Scholar 

  367. Y. Zorian, V. K. Agarwal, “A General Scheme to Optimize Error Masking in Built-in Self-Testing”, in Proc. International Symposium on Fault-Tolerant Computing (FTCS-16), 1986, pp. 410–415

    Google Scholar 

  368. Y. Zorian, V. K. Agarwal, “Optimizing Error Masking in BIST by Output Data Modification”, Journal of Electronic Testing: Theory and Application, vol. 1, no. 1, Feb. 1990, pp. 59–71

    Article  Google Scholar 

  369. Y. Zorian, “A Distributed BIST Control Scheme for Complex VLSI Devices”, in Proc. VLSI Test Symposium, 1993, pp. 4–9

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 1998 B. G. Teubner Verlagsgesellschaft Leipzig

About this chapter

Cite this chapter

Ströle, A.P. (1998). Literatur. In: Entwurf selbsttestbarer Schaltungen. TEUBNER-TEXTER zur Informatik, vol 27. Vieweg+Teubner Verlag. https://doi.org/10.1007/978-3-322-85164-2_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-322-85164-2_7

  • Publisher Name: Vieweg+Teubner Verlag

  • Print ISBN: 978-3-8154-2314-1

  • Online ISBN: 978-3-322-85164-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics