Skip to main content
  • 1153 Accesses

Abstract

Layout decomposition is a key challenge for multiple patterning lithography (MPL) (Fig. 2.1). When the distance between two input features is less than minimum coloring distance min s , they need to be assigned to different masks to avoid a coloring conflict. Sometimes coloring conflict can also be resolved by inserting stitch to split a pattern into two touching parts. However this introduces stitches, which lead to yield loss because of overlay error. Therefore, two of the main objectives in layout decomposition are conflict minimization and stitch minimization.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Kahng, A.B., Park, C.-H., Xu, X., Yao, H.: Layout decomposition approaches for double patterning lithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29, 939–952 (2010)

    Article  Google Scholar 

  2. Yuan, K., Yang, J.-S., Pan, D.Z.: Double patterning layout decomposition for simultaneous conflict and stitch minimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(2), 185–196 (2010)

    Article  Google Scholar 

  3. Xu, Y., Chu, C.: GREMA: graph reduction based efficient mask assignment for double patterning technology. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 601–606 (2009)

    Google Scholar 

  4. Yang, J.-S., Lu, K., Cho, M., Yuan, K., Pan, D.Z.: A new graph-theoretic, multi-objective layout decomposition framework for double patterning lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 637–644 (2010)

    Google Scholar 

  5. Xu, Y., Chu, C.: A matching based decomposer for double patterning lithography. In: ACM International Symposium on Physical Design (ISPD), pp. 121–126 (2010)

    Google Scholar 

  6. Tang, X., Cho, M.: Optimal layout decomposition for double patterning technology. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 9–13 (2011)

    Google Scholar 

  7. Anton, V.O., Peter, N., Judy, H., Ronald, G., Robert, N.: Pattern split rules! a feasibility study of rule based pitch decomposition for double patterning. In: Proceedings of SPIE, vol. 6730 (2007)

    Google Scholar 

  8. Yu, B., Yuan, K., Zhang, B., Ding, D., Pan, D.Z.: Layout decomposition for triple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2011)

    Google Scholar 

  9. Yu, B., Xu, X., Gao, J.-R., Pan, D.Z.: Methodology for standard cell compliance and detailed placement for triple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 349–356 (2013)

    Google Scholar 

  10. Ma, Q., Zhang, H., Wong, M.D.F.: Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology. In: ACM/IEEE Design Automation Conference (DAC), pp. 591–596 (2012)

    Google Scholar 

  11. Lin, Y.-H., Yu, B., Pan, D.Z., Li, Y.-L.: TRIAD: a triple patterning lithography aware detailed router. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 123–129 (2012)

    Google Scholar 

  12. Cork, C., Madre, J.-C., Barnes, L.: Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns. In: Proceedings of SPIE, vol. 7028 (2008)

    Google Scholar 

  13. Fang, S.-Y., Chen,W.-Y., Chang, Y.-W.: A novel layout decomposition algorithm for triple patterning lithography. In: ACM/IEEE Design Automation Conference (DAC), pp. 1185–1190 (2012)

    Google Scholar 

  14. Fang, S.-Y., Chang, Y.-W., Chen, W.-Y.: A novel layout decomposition algorithm for triple patterning lithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(3), 397–408 (2014)

    Article  Google Scholar 

  15. Tian, H., Zhang, H., Ma, Q., Xiao, Z., Wong, M.D.F.: A polynomial time triple patterning algorithm for cell based row-structure layout. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 57–64 (2012)

    Google Scholar 

  16. Kuang, J., Young, E.F.: An efficient layout decomposition approach for triple patterning lithography. In: ACM/IEEE Design Automation Conference (DAC), pp. 69:1–69:6 (2013)

    Google Scholar 

  17. Tian, H., Du, Y., Zhang, H., Xiao, Z., Wong, M.D.F.: Constrained pattern assignment for standard cell based triple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 178–185 (2013)

    Google Scholar 

  18. Zhang, Y., Luk, W.-S., Zhou, H., Yan, C., Zeng, X.: Layout decomposition with pairwise coloring for multiple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 170–177 (2013)

    Google Scholar 

  19. Yu, B., Lin, Y.-H., Luk-Pat, G., Ding, D., Lucas, K., Pan, D.Z.: A high-performance triple patterning layout decomposer with balanced density. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 163–169 (2013)

    Google Scholar 

  20. Chen, Z., Yao, H., Cai, Y.: SUALD: spacing uniformity-aware layout decomposition in triple patterning lithography. In: IEEE International Symposium on Quality Electronic Design (ISQED), pp. 566–571 (2013)

    Google Scholar 

  21. Ghaida, R.S., Agarwal, K.B., Liebmann, L.W., Nassif, S.R., Gupta, P.: A novel methodology for triple/multiple-patterning layout decomposition. In: Proceedings of SPIE, vol. 8327 (2012)

    Google Scholar 

  22. Yuan, K., Pan, D.Z.: WISDOM: wire spreading enhanced decomposition of masks in double patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 32–38 (2010)

    Google Scholar 

  23. Michael, R.G., David, S.J.: Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman & Co., New York (1979)

    MATH  Google Scholar 

  24. Kaufmann, M., Wagner, D.: Drawing Graphs: Methods and Models, vol. 2025. Springer, Berlin (2001)

    Book  Google Scholar 

  25. Tamassia, R., Di Battista, G., Batini, C.: Automatic graph drawing and readability of diagrams. IEEE Trans. Syst. Man Cybern. Syst. 18(1), 61–79 (1988)

    Article  Google Scholar 

  26. Vazirani, V.V.: Approximation Algorithms. Springer, Berlin (2001)

    Google Scholar 

  27. Vandenberghe, L., Boyd, S.: Semidefinite programming. SIAM Rev. 38(1), 49–95 (1996)

    Article  MATH  MathSciNet  Google Scholar 

  28. Cormen, T.T., Leiserson, C.E., Rivest, R.L.: Introduction to Algorithms. MIT Press, Cambridge (1990)

    MATH  Google Scholar 

  29. Tarjan, R.E.: A note on finding the bridges of a graph. Inf. Process. Lett. 2, 160–161 (1974)

    Article  MATH  MathSciNet  Google Scholar 

  30. Gurobi Optimization Inc.: Gurobi optimizer reference manual. http://www.gurobi.com (2014)

  31. Borchers, B.: CSDP, a C library for semidefinite programming. Optim. Methods Softw. 11, 613–623 (1999)

    Article  MathSciNet  Google Scholar 

  32. Lucas, K., Cork, C., Yu, B., Luk-Pat, G., Painter, B., Pan, D.Z.: Implications of triple patterning for 14 nm node design and patterning. In: Proceedings of SPIE, vol. 8327 (2012)

    Google Scholar 

  33. Chen, P., Kuh, E.S.: Floorplan sizing by linear programming approximation. In: ACM/IEEE Design Automation Conference (DAC), pp. 468–471 (2000)

    Google Scholar 

  34. Fiduccia, C.M., Mattheyses, R.M.: A linear-time heuristic for improving network partitions. In: ACM/IEEE Design Automation Conference (DAC), pp. 175–181 (1982)

    Google Scholar 

  35. Sanchis, L.A.: Multiple-way network partitioning. IEEE Trans. Comput. 38, 62–81 (1989)

    Article  MATH  Google Scholar 

  36. NanGate FreePDK45 Generic Open Cell Library. http://www.si2.org/openeda.si2.org/projects/nangatelib (2008)

  37. Mentor Graphics.: Calibre verification user’s manual (2008)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Yu, B., Pan, D.Z. (2016). Layout Decomposition for Triple Patterning. In: Design for Manufacturability with Advanced Lithography. Springer, Cham. https://doi.org/10.1007/978-3-319-20385-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-20385-0_2

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-20384-3

  • Online ISBN: 978-3-319-20385-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics