Skip to main content

A Thermal and Process Variation Aware MTJ Switching Model and Its Applications in Soft Error Analysis

  • Chapter
  • First Online:
More than Moore Technologies for Next Generation Computer Design

Abstract

Spin-transfer torque random access memory (STT-RAM) has recently gained increased attention from circuit design and architecture societies. Although STT-RAM offers a good combination of small cell size, nanosecond access time and non-volatility for embedded memory applications, the reliability of STT-RAM is severely impacted by device variations and environmental disturbances. In this work, we develop a compact switching model for magnetic tunneling junction (MTJ), which is the data storage device in STT-RAM cells. By leveraging the capability to simulate the impact of thermal and process variations on MTJ switching, our model is able to analyze the diverse mechanisms of STT-RAM write operation failures. Besides the impacts of thermal and process variation, the soft error induced by radiation striking on the access transistor is another important threat to the MTJ reliability. It can also be analyzed by using our model. The incurred computation cost of our model is much less than the conventional macro-magnetic model, and hence, enabling its applications in comprehensive STT-RAM reliability analysis and design optimizations.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Raychowdhury A, Somasekhar D, Karnik T, De V. Design space and scalability exploration of 1T-1STTMTJ memory arrays in the presence of variability and disturbances. In: IEEE IEDM, 2009, p. 1–4.

    Google Scholar 

  2. Wang P, Wang X, Zhang Y, Li H, Levitan S, Chen Y. Non-persistent errors optimization in spin-MOS logic and storage circuitry. IEEE Trans Magn. 2011;47:3860–3.

    Article  Google Scholar 

  3. Sun G, Dong X, Xie Y, Li J, Chen Y. A novel architecture of the 3D stacked MRAM L2 cache for CMPs. In: IEEE 15th International Symposium HPCA, 2009, p. 239–49.

    Google Scholar 

  4. Zhang Y, Wang X, Li Y, Jones A, Chen Y. Asymmetry of MTJ switching and its implication to STT-RAM designs. In: EDA Consortium Conference on Design, Automation and Test in Europe, 2012, p. 1313–8.

    Google Scholar 

  5. Li J, Augustine C, Salahuddin S, Roy K. Modeling of failure probability and statistical design of spin-torque transfer magnetic randomaccess memory (STT MRAM) array for yield enhancement. In: 45th ACM/IEEE DAC, 2008, p. 278–83.

    Google Scholar 

  6. Nigam A, Smullen CW, Mohan V, Chen E, Gurumurthi S, Stan MR. Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM). In: ISLPED, 2011, p. 121–6.

    Google Scholar 

  7. Joshi R, Kanj R, Wang P, Li H. Universal statistical cure for predicting memory loss. In: IEEE/ACM ICCAD, 2011, p. 236–9.

    Google Scholar 

  8. Sun JZ. Spin-current interaction with a monodomain magnetic body: a model study. Phys Rev B Condens Matter. 2000;62:570–8.

    Article  Google Scholar 

  9. Slonczewski JC. Conductance and exchange coupling of two ferromagnets separated by a tunneling barrier. Phys Rev B Condens Matter. 1989;39:6995–7002.

    Article  Google Scholar 

  10. O’Handley RC. Model for strain and magnetization in magnetic shape-memory alloys. J Appl Phys. 1998;83:3263–70.

    Article  Google Scholar 

  11. Koch RH, Katine JA, Sun JZ. Time-resolved reversal of spin transfer switching in a nanomagnet. Phys Rev Lett. 2004;92:088302.

    Article  Google Scholar 

  12. Levitt MH. Demagnetization field effects in two-dimensional solution NMR. Concepts Magn Reson. 1996;8:77–103.

    Article  Google Scholar 

  13. Beleggia M, Graef MD, Millev YT, Goode DA, Rowlands G. Demagnetization factors for elliptic cylinders. J Phys D Appl Phys. 2005;38:3333–42.

    Article  Google Scholar 

  14. Liniers M, Flores J, Bermejo FJ, Gonzales JM, Vicent JL, et~al. Systematic study of the temperature dependence of the saturation magnetization in Fe, Fe-Ni and Co-based amorphous alloys. IEEE Trans Magn. 1989;25:3363–5.

    Article  Google Scholar 

  15. Wang X, Chen Y, Li H, Dimitrov D, Liu H. Spin torque random access memory down to 22 nm technology. IEEE Trans Magn. 2008;44:2479–82.

    Article  Google Scholar 

  16. Chen Y, Wang X, Li H, Liu H, Dimitrov D. Design margin exploration of spin-torque transfer RAM (SPRAM). In: ISQED, 2008, p. 684–90.

    Google Scholar 

  17. Higo Y, Yamane K, Ohba K, Narisawa H, Bessho K, et~al. Thermal activation effect on spin transfer switching in magnetic tunnel junctions. Appl Phys Lett. 2005;87:082502.

    Article  Google Scholar 

  18. Bi X, Li H, Kim J.-J. Analysis and Optimization of Thermal Effect on STT-RAM Based 3-D Stacked Cache Design,” IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Aug. 2012, pp. 374–9. DOI: 10.1109/ISVLSI.2012.56.

    Google Scholar 

  19. Baumann RC. Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans Device Mater Reliab. 2005;5:305–16.

    Article  Google Scholar 

  20. Predictive Technology Model (PTM). http://www.eas.asu.edu/~ptm/.

  21. Naseer R, Boulghassoul Y, Draper J, DasGupta S, Witulski A. Critical charge characterization for soft error rate modeling in 90 nm SRAM. In: IEEE ISCAS, 2007, p. 1879–82.

    Google Scholar 

  22. Zhang M, Shanbhag NR. Soft-error-rate-analysis (SERA) methodology. IEEE Trans Comput Aided Des Integr Circuits Syst. 2006;25:2140–55.

    Article  Google Scholar 

Download references

Acknowledgment

This work is supported by NSF award: CNS-1116171 and CCF-1217947.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yiran Chen .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Wang, P., Eken, E., Zhang, W., Joshi, R., Kanj, R., Chen, Y. (2015). A Thermal and Process Variation Aware MTJ Switching Model and Its Applications in Soft Error Analysis. In: Topaloglu, R. (eds) More than Moore Technologies for Next Generation Computer Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-2163-8_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4939-2163-8_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4939-2162-1

  • Online ISBN: 978-1-4939-2163-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics