Skip to main content

Nonvolatile Memory Computing System

  • Chapter
  • First Online:
Design Exploration of Emerging Nano-scale Non-volatile Memory
  • 1341 Accesses

Abstract

The analysis of big-data at exascale (1018 bytes or flops) has introduced the emerging need to reexamine the existing hardware platform that can support memory-oriented computing. A big-data-driven application requires huge bandwidth with maintained low-power density. For example, web-searching application involves crawling, comparing, ranking, and paging of billions of web pages with extensive memory access. However, the current data-processing platform has well-known memory wall with limited accessing bandwidth but also large leakage power at advanced CMOS technology nodes. As such, a power-efficient memory-based design is highly desirable for future big-data processing. From memory design perspective, hybrid memory architecture can be built to exploit the strengths and avoid the weaknesses of different memory technologies. From logic computation perspective, nonvolatile memory based computing is favored to achieve power-efficient computing with high parallelism. In this chapter, the NVM system designs have been explored as potential solutions for future big-data computing platform.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abid Z, Alma’Aitah A, Barua M, Wang W (2009) Efficient cmol gate designs for cryptography applications. IEEE Trans Nanotechnol 8(3):315–321

    Article  Google Scholar 

  2. An L, Bhanu B (2012) Image super-resolution by extreme learning machine. In: 19th IEEE international conference on Image processing (ICIP) 2012, IEEE, Washington, pp 2209–2212

    Google Scholar 

  3. Augustine C, Raychowdhury A, Behin-Aein B, Srinivasan S, Tschanz J, De VK, Roy K (2011) Numerical analysis of domain wall propagation for dense memory arrays. In: 2011 IEEE international Electron devices meeting (IEDM). IEEE, Washington, pp 17–6

    Google Scholar 

  4. Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A, Hestness J, Hower DR, Krishna T, Sardashti S et al (2011) The gem5 simulator. ACM SIGARCH Comput Architect News 39(2):1–7

    Article  Google Scholar 

  5. Bird S, Phansalkar A, John LK, Mericas A, Indukuru R (2007) Performance characterization of spec cpu benchmarks on intel’s core microarchitecture based processor. In: SPEC Benchmark Workshop

    Google Scholar 

  6. Dean J, Ghemawat S (2008) Mapreduce: simplified data processing on large clusters. Commun ACM 51(1):107–113

    Article  Google Scholar 

  7. Dong X, Jouppi NP, Xie Y (2009) Pcramsim: system-level performance, energy, and area modeling for phase-change ram. In: Proceedings of the 2009 international conference on computer-aided design. ACM, New York, pp 269–275

    Google Scholar 

  8. Furey TS, Cristianini N, Duffy N, Bednarski DW, Schummer M, Haussler D (2000) Support vector machine classification and validation of cancer tissue samples using microarray expression data. Bioinformatics 16(10):906–914

    Article  Google Scholar 

  9. Hagan MT, Demuth HB, Beale MH et al (1996) Neural network design. Pws Pub., Boston

    Google Scholar 

  10. Hanyu T, Teranishi K, Kameyama M (1998) Multiple-valued logic-in-memory vlsi based on a floating-gate-mos pass-transistor network. In: 1998 IEEE International Solid-state circuits conference, 1998. Digest of Technical Papers. IEEE, NJ, pp 194–195

    Google Scholar 

  11. Hennessy JL, Patterson DA (2012) Computer architecture: a quantitative approach. Elsevier, Waltham

    Google Scholar 

  12. Hua CH, Cheng TS, Hwang W (2005) Distributed data-retention power gating techniques for column and row co-controlled embedded sram. In: 2005 IEEE international workshop on Memory technology, design, and testing, 2005 (MTDT 2005). IEEE, Washington, pp 129–134

    Google Scholar 

  13. Huang GB, Zhu QY, Siew CK (2004) Extreme learning machine: a new learning scheme of feedforward neural networks. In: 2004 IEEE international joint conference on Neural networks 2004 Proceedings, vol 2. IEEE, Washington, pp 985–990

    Google Scholar 

  14. Huang GB, Zhu QY, Siew CK (2006) Extreme learning machine: theory and applications. Neurocomputing 70(1):489–501

    Article  Google Scholar 

  15. ITRS (2010) International technology roadmap of semiconductor. http://www.itrs.net

  16. Kaps JP, Sunar B (2006) Energy comparison of aes and sha-1 for ubiquitous computing. In: Emerging directions in embedded and ubiquitous computing. Springer, New York, pp 372–381

    Google Scholar 

  17. Kautz WH (1969) Cellular logic-in-memory arrays. Comput IEEE Trans 100(8):719–727

    Article  Google Scholar 

  18. Kim JK, Choi JH, Shin SW, Kim CK, Kim HY, Kim WS, Kim C, Cho SI (2004) A 3.6 gb/s/pin simultaneous bidirectional (sbd) i/o interface for high-speed dram. In: IEEE international Solid-state circuits conference, 2004. Digest of technical papers, ISSCC 2004. IEEE, Washington, pp 414–415

    Google Scholar 

  19. Kim KH, Gaba S, Wheeler D, Cruz-Albrecht JM, Hussain T, Srinivasa N, Lu W (2011) A functional hybrid memristor crossbar-array/cmos system for data storage and neuromorphic applications. Nano Lett 12(1):389–395

    Article  Google Scholar 

  20. Kimura H, Hanyu T, Kameyama M, Fujimori Y, Nakamura T, Takasu H (2004) Complementary ferroelectric-capacitor logic for low-power logic-in-memory vlsi. Solid-State Circuits IEEE J 39(6):919–926

    Article  Google Scholar 

  21. Koga M, Iida M, Amagasaki M, Ichida Y, Saji M, Iida J, Sueyoshi T (2010) First prototype of a genuine power-gatable reconfigurable logic chip with feram cells. In: 2010 International conference on field programmable logic and applications (FPL). IEEE, Washington, pp 298–303

    Google Scholar 

  22. Lee SJ, Ouyang CS (2003) A neuro-fuzzy system modeling with self-constructing rule generationand hybrid svd-based learning. Fuzzy Syst IEEE Trans 11(3):341–353

    Article  Google Scholar 

  23. Li S, Ahn JH, Strong RD, Brockman JB, Tullsen DM, Jouppi NP (2009) Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: 42nd annual IEEE/ACM international symposium on Microarchitecture, 2009 MICRO-42. IEEE, New York, pp 469–480

    Google Scholar 

  24. Lin J, Dyer C (2010) Data-intensive text processing with mapreduce. Synth Lect Hum Lang Tech 3(1):1–177

    Article  Google Scholar 

  25. Lin SY, Huang CT (2007) A high-throughput low-power aes cipher for network applications. In: Proceedings of the 2007 Asia and South Pacific Design Automation Conference, IEEE Computer Society, pp 595–600

    Google Scholar 

  26. Loh GH (2008) 3d-stacked memory architectures for multi-core processors. In: ACM SIGARCH computer architecture news, vol 36. IEEE Computer Society, Los Alamitos, pp 453–464

    Google Scholar 

  27. Malbrain K (2009) Byte-oriented-aes: a public domain byte-oriented implementation of aes in c. https://code.google.com/p/byte-oriented-aes/

  28. Matsunaga S, Hayakawa J, Ikeda S, Miura K, Hasegawa H, Endoh T, Ohno H, Hanyu T (2008) Fabrication of a nonvolatile full adder based on logic-in-memory architecture using magnetic tunnel junctions. Appl Phys Expr 1(9):1301

    Google Scholar 

  29. Matsunaga S, Hayakawa J, Ikeda S, Miura K, Endoh T, Ohno H, Hanyu T (2009) Mtj-based nonvolatile logic-in-memory circuit, future prospects and issues. In: Proceedings of the conference on design, automation and test in Europe. European Design and Automation Association, Leuven, pp 433–435

    Google Scholar 

  30. Nagai T, Wada M, Iwai H, Kaku M, Suzuki A, Takai T, Itoga N, Miyazaki T, Takenaka H, Hojo T et al (2006) A 65nm low-power embedded dram with extended data-retention sleep mode. In: IEEE international solid-state circuits conference 2006, ISSCC 2006. Digest of technical papers. IEEE, Washington, pp 567–576

    Google Scholar 

  31. Parkin SS, Hayashi M, Thomas L (2008) Magnetic domain-wall racetrack memory. Science 320(5873):190–194

    Article  Google Scholar 

  32. Qin H, Cao Y, Markovic D, Vladimirescu A, Rabaey J (2004) Sram leakage suppression by minimizing standby supply voltage. In: Proceedings of 5th international symposium on Quality electronic design, 2004. IEEE, pp 55–60

    Google Scholar 

  33. Shang Y, Zhang C, Yu H, Tan CS, Zhao X, Lim SK (2013) Thermal-reliable 3d clock-tree synthesis considering nonlinear electrical-thermal-coupled tsv model. In: ASP-DAC, pp 693–698

    Google Scholar 

  34. Suykens JA, Vandewalle J (1999) Least squares support vector machine classifiers. Neural Process Lett 9(3):293–300

    Article  MathSciNet  Google Scholar 

  35. Talbot J, Yoo RM, Kozyrakis C (2011) Phoenix++: modular mapreduce for shared-memory systems. In: Proceedings of the 2ndnd international workshop on MapReduce and its applications. ACM, New York, pp 9–16

    Google Scholar 

  36. Thoziyoor S, Muralimanohar N, Ahn JH, Jouppi NP (2008) Cacti 5.1. HP Laboratories, 2 Apr 2008

    Google Scholar 

  37. Trinh HP, Zhao W, Klein JO, Zhang Y, Ravelsona D, Chappert C (2012) Domain wall motion based magnetic adder. Electron Lett 48(17):1049–1051

    Article  Google Scholar 

  38. Usselmann R (2002) Advanced encryption standard / rijndael ip core. http://opencores.org/project,aes_core

  39. Venkatesan R, Kozhikkottu V, Augustine C, Raychowdhury A, Roy K, Raghunathan A (2012) Tapecache: a high density, energy efficient cache based on domain wall memory. In: Proceedings of the 2012 ACM/IEEE international symposium on low power electronics and design. ACM, New York, pp 185–190

    Google Scholar 

  40. Wang Y, Yu H (2013) An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices. In: 2013 IEEE international symposium on low power electronics and design (ISLPED). IEEE, New York, pp 329–334

    Google Scholar 

  41. Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (2004) Image quality assessment: From error visibility to structural similarity. Image Process IEEE Trans 13(4):600–612

    Article  Google Scholar 

  42. Wang X, Chen Y, Li H, Dimitrov D, Liu H (2008) Spin torque random access memory down to 22 nm technology. Magnetics IEEE Trans 44(11):2479–2482

    Article  Google Scholar 

  43. Xie J, Dong X, Xie Y (2010) 3d memory stacking for fast checkpointing/restore applications. In: 2010 IEEE international conference on 3D systems integration conference (3DIC). IEEE, Munich, pp 1–6

    Google Scholar 

  44. Yegnanarayana B (2004) Artificial neural networks. PHI Learning Pvt. Ltd., New Delhi

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Yu, H., Wang, Y. (2014). Nonvolatile Memory Computing System. In: Design Exploration of Emerging Nano-scale Non-volatile Memory. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-0551-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4939-0551-5_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4939-0550-8

  • Online ISBN: 978-1-4939-0551-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics