Skip to main content

Abstract

Computer memory is any physical device capable of storing data temporarily or permanently. It covers from the fastest yet most expensive static random-access memory to the cheapest but slowest hard drive disk, while in between there are many other memory technologies that make trade-offs among cost, speed, and power consumption. The variety of memory technologies introduces the most important concept as memory hierarchy, which exploits the strength and avoids the weakness of different memory technologies. However, the memory hierarchy is only the temporary solution to alleviate the memory-wall issue, and the ultimate solution requires a breakthrough on memory technology. Fortunately, many newly introduced emerging nonvolatile memory technologies have exhibited great potential for the future universal memory. This chapter reviews the existing semiconductor memory technologies as well as the emerging nonvolatile memory technologies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Andre TW, Nahas JJ, Subramanian CK, Garni BJ, Lin HS, Omair A, Martino WL Jr (2005) A 4-mb 0.18-μm 1t1mtj toggle mram with balanced three input sensing scheme and locally mirrored unidirectional write drivers. IEEE J Solid-State Circuits 40(1):301–309

    Article  Google Scholar 

  2. Baibich MN, Broto J, Fert A, Van Dau FN, Petroff F, Etienne P, Creuzet G, Friederich A, Chazelas J (1988) Giant magnetoresistance of (001) fe/(001) cr magnetic superlattices. Phys Rev Lett 61(21):2472

    Article  Google Scholar 

  3. Bedeschi F, Bez R, Boffino C, Bonizzoni E, Buda E, Casagrande G, Costa L, Ferraro M, Gastaldi R, Khouri O et al (2004) 4-mb mosfet-selected phase-change memory experimental chip. In: IEEE proceeding of the 30th European solid-state circuits conference ESSCIRC 2004, pp 207–210

    Google Scholar 

  4. Chua L (1971) Memristor-the missing circuit element. IEEE Trans Circuit Theor 18(5):507–519

    Article  Google Scholar 

  5. Dietrich S, Angerbauer M, Ivanov M, Gogl D, Hoenigschmid H, Kund M, Liaw C, Markert M, Symanczyk R, Altimime L et al (2007) A nonvolatile 2-mbit cbram memory core featuring advanced read and program control. IEEE J Solid-State Circuits 42(4):839–845

    Article  Google Scholar 

  6. Engel B, Akerman J, Butcher B, Dave R, DeHerrera M, Durlam M, Grynkewich G, Janesky J, Pietambaram S, Rizzo N et al (2005) A 4-mb toggle mram based on a novel bit and switching method. IEEE Trans Magn 41(1):132–136

    Article  Google Scholar 

  7. Fei W, Yu H, Zhang W, Yeo KS (2012) Design exploration of hybrid cmos and memristor circuit by new modified nodal analysis. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(6):1012–1025

    Article  Google Scholar 

  8. Gallagher WJ, Parkin SS (2006) Development of the magnetic tunnel junction mram at ibm: from first junctions to a 16-mb mram demonstrator chip. IBM J Res Dev 50(1):5–23

    Article  Google Scholar 

  9. Gogl D, Arndt C, Barwin JC, Bette A, DeBrosse J, Gow E, Hoenigschmid H, Lammers S, Lamorey M, Lu Y et al (2005) A 16-mb mram featuring bootstrapped write drivers. IEEE J Solid-State Circ 40(4):902–908

    Article  Google Scholar 

  10. Horii H, Yi J, Park J, Ha Y, Baek I, Park S, Hwang Y, Lee S, Kim Y, Lee K et al (2003) A novel cell technology using n-doped gesbte films for phase change ram. In: IEEE 2003 symposium on VLSI technology. Digest of technical papers, pp 177–178

    Google Scholar 

  11. Hosomi M, Yamagishi H, Yamamoto T, Bessho K, Higo Y, Yamane K, Yamada H, Shoji M, Hachino H, Fukumoto C et al (2005) A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-ram. In: IEEE international electron devices meeting, 2005. IEDM technical digest, pp 459–462

    Google Scholar 

  12. ITRS (2010) International technology roadmap of semiconductor. http://www.itrs.net

  13. Kang S, Cho WY, Cho BH, Lee KJ, Lee CS, Oh HR, Choi BG, Wang Q, Kim HJ, Park MH et al (2007) A 0.1-μm 1.8-v 256-mb phase-change random access memory (pram) with 66-mhz synchronous burst-read operation. IEEE J Solid-State Circ 42(1):210–218

    Article  Google Scholar 

  14. Kawahara T, Takemura R, Miura K, Hayakawa J, Ikeda S, Lee Y, Sasaki R, Goto Y, Ito K, Meguro I et al (2007) 2mb spin-transfer torque ram (spram) with bit-by-bit bidirectional current write and parallelizing-direction current read. In: IEEE international solid-state circuits conference, 2007. ISSCC 2007. Digest of technical papers, pp 480–617

    Google Scholar 

  15. Kund M, Beitel G, Pinnow CU, Rohr T, Schumann J, Symanczyk R, Ufert KD, Muller G (2005) Conductive bridging ram (cbram): an emerging non-volatile memory technology scalable to sub 20nm. In: IEEE international electron devices meeting, 2005. IEDM Technical Digest, pp 754–757

    Google Scholar 

  16. Lacey A (1995) Thermal runaway in a non-local problem modelling ohmic beating: part 1: model derivation and some special cases. Eur J Appl Math 6(2):127–144

    Article  MATH  MathSciNet  Google Scholar 

  17. Lee BC, Ipek E, Mutlu O, Burger D (2009) Architecting phase change memory as a scalable dram alternative. ACM SIGARCH Comput Architect News 37(3):2–13

    Article  Google Scholar 

  18. Manipatruni S, Nikonov DE, Young IA (2012) Modeling and design of spintronic integrated circuits. Circuits and Systems I: Regular Papers, IEEE Trans on 59(12):2801–2814

    Article  MathSciNet  Google Scholar 

  19. Oh HR, Cho Bh, Cho WY, Kang S, Choi Bg, Kim Hj, Kim Ks, Kim De, Kwak Ck, Byun HG et al (2006) Enhanced write performance of a 64-mb phase-change random access memory. IEEE J Solid-State Circ 41(1):122–126

    Article  Google Scholar 

  20. Parkin SS, Hayashi M, Thomas L (2008) Magnetic domain-wall racetrack memory. Science 320(5873):190–194

    Article  Google Scholar 

  21. Qureshi MK, Srinivasan V, Rivers JA (2009) Scalable high performance main memory system using phase-change memory technology. ACM SIGARCH Comput Architect News 37(3):24–33

    Article  Google Scholar 

  22. Schatz R, Bethea C (1994) Steady state model for facet heating leading to thermal runaway in semiconductor lasers. J Appl Phys 76(4):2509–2521

    Article  Google Scholar 

  23. Shang Y, Fei W, Yu H (2012) Analysis and modeling of internal state variables for dynamic effects of nonvolatile memory devices. IEEE Trans Circ Syst I Regular Pap 59(9):1906–1918

    Article  MathSciNet  Google Scholar 

  24. Shang Y, Fei W, Yu H (2012) Fast simulation of hybrid cmos and stt-mtj circuits with identified internal state variables. In: IEEE 2012 17th Asia and South Pacific design automation conference (ASP-DAC), pp 529–534

    Google Scholar 

  25. Smullen CW, Mohan V, Nigam A, Gurumurthi S, Stan MR (2011) Relaxing non-volatility for fast and energy-efficient stt-ram caches. In: IEEE 2011 17th international symposium on high performance computer architecture (HPCA), pp 50–61

    Google Scholar 

  26. Strukov DB, Snider GS, Stewart DR, Williams RS (2008) The missing memristor found. Nature 453(7191):80–83

    Article  Google Scholar 

  27. Venkatesan R, Kozhikkottu V, Augustine C, Raychowdhury A, Roy K, Raghunathan A (2012) Tapecache: a high density, energy efficient cache based on domain wall memory. In: Proceedings of the 2012 ACM/IEEE international symposium on low power electronics and design, ACM, pp 185–190

    Google Scholar 

  28. Wang Y, Yu H (2012) Design exploration of ultra-low power non-volatile memory based on topological insulator. In: IEEE 2012 IEEE/ACM international symposium on nanoscale architectures (NANOARCH), pp 30–35

    Google Scholar 

  29. Wang Y, Yu H (2013) An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices. In: IEEE 2013 international symposium on low power electronics and design (ISLPED), pp 329–334

    Google Scholar 

  30. Wang Y, Fei W, Yu H (2012) Spice simulator for hybrid cmos memristor circuit and system. In: IEEE 2012 13th international workshop on cellular nanoscale networks and their applications (CNNA), pp 1–6

    Google Scholar 

  31. Wang Y, Shang Y, Yu H (2012) Design of non-destructive single-sawtooth pulse based readout for stt-ram by nvm-spice. In: IEEE 2012 12th annual non-volatile memory technology symposium (NVMTS), pp 68–72

    Google Scholar 

  32. Wang Y, Zhang C, Nadipalli R, Yu H, Weerasekera R (2012) Design exploration of 3d stacked non-volatile memory by conductive bridge based crossbar. In: 2011 IEEE International 3D systems integration conference (3DIC), pp 1–6

    Google Scholar 

  33. Wang Y, Zhang C, Yu H, Zhang W (2012) Design of low power 3d hybrid memory by non-volatile cbram-crossbar with block-level data-retention. In: Proceedings of the 2012 ACM/IEEE international symposium on low power electronics and design, ACM, pp 197–202

    Google Scholar 

  34. Wang Y, Kong P, Yu H (2013) Logic-in-memory based mapreduce computing by nonvolatile domain-wall nanowire devices. In: IEEE 2013 13th Annual Non-Volatile Memory Technology Symposium (NVMTS)

    Google Scholar 

  35. Wang Y, Yu H, Zhang W (2013) Nonvolatile cbram-crossbar-based 3-d-integrated hybrid memory for data retention. IEEE Trans Very Large Scale Integr (VLSI) Syst PP(99):1–1. doi:10.1109/TVLSI.2013.2265754

    Google Scholar 

  36. Wang Y, Kong P, Yu H, Sylvester D (2014) Energy efficient in-memory aes encryption based on nonvolatile domain-wall nanowire. In: IEEE design automation and test conference in Europe (DATE)

    Google Scholar 

  37. Williams R (2008) How we found the missing memristor. IEEE Spectr 45(12):28–35

    Article  Google Scholar 

  38. Wong HP, Raoux S, Kim S, Liang J, Reifenberg JP, Rajendran B, Asheghi M, Goodson KE (2010) Phase change memory. Proc IEEE 98(12):2201–2227

    Article  Google Scholar 

  39. Xu W, Sun H, Wang X, Chen Y, Zhang T (2011) Design of last-level on-chip cache using spin-torque transfer ram (stt ram). IEEE Trans Very Large Scale Integr (VLSI) Syst 19(3):483–493

    Article  Google Scholar 

  40. Yu H, Fei W (2010) A new modified nodal analysis for nano-scale memristor circuit simulation. In: IEEE proceedings of 2010 IEEE international symposium on circuits and systems (ISCAS), pp 3148–3151

    Google Scholar 

  41. Yu H, Wang Y, Chen S, Fei W, Weng C, Zhao J, Wei Z (2014) Energy efficient in-memory machine learning for data intensive image-processing by non-volatile domain-wall memory. In: IEEE 2014 19th Asia and South Pacific design automation conference (ASP-DAC)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Yu, H., Wang, Y. (2014). Introduction. In: Design Exploration of Emerging Nano-scale Non-volatile Memory. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-0551-5_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4939-0551-5_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4939-0550-8

  • Online ISBN: 978-1-4939-0551-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics