Skip to main content

On-Chip Silicon Odometers for Circuit Aging Characterization

  • Chapter
  • First Online:
Bias Temperature Instability for Devices and Circuits

Abstract

The parametric shifts or circuit failures caused by Bias Temperature Instability (BTI) and other aging mechanisms in CMOS transistors have become more severe with shrinking device sizes and voltage margins. These mechanisms must be studied in order to develop accurate reliability models which are used to design robust circuits. Another option for addressing aging effects is to use on-chip reliability monitors that can trigger real-time adjustments to compensate for reduced performance or device failures. The need for efficient technology characterization and aging compensation is exacerbated by the rapid introduction of process changes, such as high-k/metal gate stacks and new transistor architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, and F. Perrier. On-the-fly Characterization of NBTI in Ultra-Thin Gate Oxide PMOSFETs. IEEE International Electron Devices Meeting, pages 109-112, 2004.

    Google Scholar 

  2. M. Denais, A. Bravaix, V. Huard, C. Parthasarathy, C. Guerin, G. Ribes, F. Perrier, M. Mairy, and D. Roy. Paradigm Shift for NBTI Characterization in Ultra-Scaled CMOS Technologies. IEEE International Reliability Physics Symposium, pages 735-736, 2006.

    Google Scholar 

  3. C. Shen, M.-F. Li, C. Foo, T. Yang, D. Huang, A. Yap, G. Samudra, and Y.-C. Yeo. Characterization and Physical Origin of Fast Vth Transient in NBTI of pMOSFETs with SiON Dielectrics. IEEE Electron Devices Meeting, pages 1-4, 2006.

    Google Scholar 

  4. T. Grasser, W. Gös, V. Sverdlov, and B. Kaczer. The Universality of NBTI Relaxation and Its Implications for Modeling and Characterization. IEEE International Reliability Physics Symposium, pages 268-280, 2007.

    Google Scholar 

  5. T. Fischer, E. Amirante, K. Hofmann, M. Ostermayr, P. Huber, and D. Schmitt-Landsiedel. A 65 nm Test Structure for the Analysis of NBTI Induced Statistical Variation in SRAM Transistors. IEEE European Solid-State Device Research Conference, pages 51-54, 2008.

    Google Scholar 

  6. E. Karl, P. Singh, D. Blaauw, and D. Sylvester. Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation. IEEE International Solid-State Circuits Conference, pages 410-411, 2008.

    Google Scholar 

  7. P. Singh, Z. Foo, M. Wieckowski, S. Hanson, M. Fojtik, D. Blaauw, and D. Sylvester. Early Detection of Oxide Breakdown through In Situ Degradation Sensing. IEEE International Solid-State Circuits Conference, pages 190-191, 2010.

    Google Scholar 

  8. K. Hofmann, H. Reisinger, K. Ermisch, C. Schlunder, W. Gusting, T. Pompl, G. Georgakos, K. v. Arnim, J. Hatsch, T. Kodytek, T. Baumann, and C. Pacha. Highly Accurate Product-Level Aging Monitoring in 40 nm CMOS. IEEE Symposium on VLSI Technology, pages 27-28, 2010.

    Google Scholar 

  9. E. Saneyoshi, K. Nose, and M. Mizuno. A Precise-Tracking NBTI-Degradation Monitor Independent of NBTI Recovery Effect. IEEE International Solid-State Circuits Conference, pages 192-193, 2010.

    Google Scholar 

  10. F. Gebara, J. Hayes, J. Keane, S. Nassif, and J. Schaub. Delay-Based Bias Temperature Instability Recovery Measurements for Characterizing Stress Degradation and Recovery. U. S. Patent Application 12/142,294, Filed June 19, 2008.

    Google Scholar 

  11. M. Chen, V. Reddy, J. Carulli, S. Krishnan, V. Rentala, and V. Srinivasan. A TDC-based Test Platform for Dynamic Circuit Aging Characterization. IEEE International Reliability Physics Symposium, pages 2B.2.1-2B.2.5, 2011.

    Google Scholar 

  12. M.B. da Silva, B. Kaczer, G. Van der Plas, G.I. Wirth, and G. Groeseneken. On-Chip Circuit for Massively Parallel BTI Characterization. IEEE International Integrated Reliability Workshop, pages 90-93, 2011.

    Google Scholar 

  13. H. F. Dadgour and K. Banerjee. A Built-in Aging Detection and Compensation Technique for Improving Reliability of Nanoscale CMOS Designs. IEEE International Integrated Reliability Workshop, pages CR.1.1-CR.1.4, 2010.

    Google Scholar 

  14. J. Keane and C. H. Kim. On-Chip Silicon Odometers and their Potential Use in Medical Electronics. IEEE International Reliability Physics Symposium, pages 4C.1.1-4C.1.8, 2012.

    Google Scholar 

  15. T. H. Kim, R. Persaud, and C. H. Kim. Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits. IEEE Journal of Solid-State Circuits, vol. 43, no. 4, pages 874-880, 2008.

    Article  Google Scholar 

  16. J. Keane, D. Persaud, and C. H. Kim. An All-In-One Silicon Odometer for Separately Monitoring HCI, BTI, and TDDB. IEEE VLSI Circuits Symposium, pages 108-109, 2009.

    Google Scholar 

  17. J. Velamala, V. Reddy, R. Zheng, and Y. Cao. On the Bias Dependence of Time Exponent in NBTI and CHC Effects. IEEE International Reliability Physics Symposium, pages 5E.2.1-5E.2.5, 2010.

    Google Scholar 

  18. K. Hofmann, H. Reisinger, K. Ermisch, C. Schlunder, W. Gusting, T. Pompl, G. Georgakos, K. v. Arnim, J. Hatsch, T. Kodytek, T. Baumann, and C. Pacha. Highly Accurate Product-Level Aging Monitoring in 40 nm CMOS. IEEE Symposium on VLSI Technology, pages 27-28, 2010.

    Google Scholar 

  19. H. Reisinger, T. Grasser, K. Hofmann, W. Gustin, and C. Schlunder. The Impact of Recovery on BTI Reliability Assessments. IEEE International Integrated Reliability Workshop, pages 12-16, 2010.

    Google Scholar 

  20. B. Kaczer, R. Degraeve, M. Rasras, K. Van de Mieroop, P. Roussel, and G. Groeseneken. Impact of MOSFET Gate Oxide Breakdown on Digital Circuit Operation and Reliability. IEEE Transactions on Electron Devices, pages 500-506, vol. 49, no. 3, 2002.

    Google Scholar 

  21. J. Keane, W. Zhang, and C. H. Kim. An Array-Based Odometer System for Statistically Significant Circuit Aging Characterization. IEEE Journal of Solid-State Circuits, vol. 46, no. 10, pages 2374-2385, 2011.

    Article  Google Scholar 

  22. S. Rauch. The Statistics of NBTI-Induced VT and β Mismatch Shifts in pMOSFETs. IEEE Transactions on Device and Materials Reliability, vol. 2, no. 4, pages 89-93, 2002.

    Article  Google Scholar 

  23. S. Pae, J. Maiz, C. Prasad, and B. Woolery. Effect of BTI Degradation on Transistor Variability in Advanced Semiconductor Technologies. IEEE Transactions on Device Materials and Reliability, vol. 8, no. 3, pages 519-525, 2008.

    Article  Google Scholar 

  24. B. Kaczer, T. Grasser, P. Roussel, J. Franco, R. Degraeve, L. Ragnarsson, E. Simoen, G. Groeseneken, and H. Reisinger. Origin of NBTI Variability in Deeply Scaled pFETs. IEEE International Reliability Physics Symposium, pages 26-32, 2010.

    Google Scholar 

  25. T. Fischer, E. Amirante, K. Hofmann, M. Ostermayr, P. Huber, and D. Schmitt-Landsiedel. A 65nm Test Structure for the Analysis of NBTI Induced Statistical Variation in SRAM Transistors. IEEE European Solid-State Device Research Conference, pages 51-54, 2008.

    Google Scholar 

  26. M. Agostinelli, S. Pae, W. Yang, C. Prasad, D. Kencke, S. Ramey, E. Snyder, S. Kashyap, and M. Jones. Random Charge Effects for PMOS NBTI in Ultra-Small Gate Area Devices. IEEE International Reliability Physics Symposium, pages 529-532, 2005.

    Google Scholar 

  27. V. Huard, C. Parthasarathy, C. Guerin, T. Valentin, E. Pion, M. Mammasse, N. Planes, and L. Camus. NBTI Degradation: From Transistor to SRAM Arrays. IEEE International Reliability Physics Symposium, pages 289-300, 2008.

    Google Scholar 

  28. K. Kang, S. Park, K. Roy, and M. Alam. Estimation of Statistical Variation in Temporal NBTI Degradation and its Impact on Lifetime Circuit Performance. IEEE/ACM Int. Conference on Computer-Aided Design, pages 730-734, 2007.

    Google Scholar 

  29. S. Rauch. Review and Reexamination of Reliability Effects Related to NBTI-Induced Statistical Variations. IEEE Transactions on Device Materials and Reliability, vol. 7, no. 4, pages 524-530, 2007.

    Article  Google Scholar 

  30. C. Schlunder, S. Aresu, G. Georgakos, W. Kanert, H. Reisinger, K. Hofmann and W. Gustin. HCI vs. BTI? – Neither one’s out. IEEE International Reliability Physics Symposium, pages 2F.4.1-2F.4.6, 2012.

    Google Scholar 

  31. P. Moens, G. Van den bosch, and G. Croeseneken. Hot-carrier degradation phenomena in lateral and vertical DMOS transistors. IEEE Transactions Electron Devices, vol. 51, no. 4, pages623-628, 2004.

    Google Scholar 

  32. Y. Leblebici. Design considerations for CMOS digital circuits with improved hot-carrier reliability. IEEE Journal of Solid-State Circuits, vol.31, pages 1014-1024, 1996.

    Google Scholar 

  33. N. S. Nagaraj, W. R. Hunter, P. R. Chidambaram, T. Y. Garibay, U. Narasimha, A. Hill and H. Shichijo. Impact of interconnect technology scaling on SoC design methodologies. IEEE Interconnect Technology Conference, pages 71-73, 2005.

    Google Scholar 

  34. W. Weber, H. M. Brox, T. Kunemund, M Muhlhoff, and D. Schmitt-Landsiedel. Dynamic degradation in MOSFET’s—Part II: Application in the Circuit Environment. IEEE Transactions Electron Devices, vol. 38, no. 8, pages 1859-1867, 1991.

    Google Scholar 

  35. W. Jiang, H. Le, J. Chung, T. Kopley, P. Marcoux, and C. Dai. Assessing Circuit-Level Hot-Carrier Reliability. IEEE International Reliability Physics Symposium, pages 173-179, 1998.

    Google Scholar 

  36. X. Wang, P. Jain, D. Jiao, and C. H. Kim. Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation. IEEE International Reliability Physics Symposium, pages 2F.5.1-2F.5.6, 2012

    Google Scholar 

  37. K. N. Quader, E. R. Minami, W. J. Ko, P. K. Ko and C. Hu. Hot-carrier-reliability design guidelines for CMOS logic circuits. IEEE Journal of Solid State Circuits, vol. 29, pages253-262, 1994.

    Google Scholar 

  38. R. Fernandez, B. Kaczer, A. Nackaerts, S. Demuynck, R. Rodriguez, M. Nafria and G. Groeseneken. AC NBTI studied in the 1 hz – 2 GHz range on dedicated on-chip CMOS circuits. IEEE International Electron Devices Meeting, pages 1-4, 2006.

    Google Scholar 

  39. C. Hu, S. C. Tam, F. C. Hsu, P. K. Ko, T. Y. Chan and K. W. Terrill. Hot-electron induced MOSFET degradation – model, monitor and improvement. IEEE Transactions Electron Devices, vol. 32, no. 2, pages 375-385, 1985.

    Article  Google Scholar 

  40. A. T. Krishnan, V. Reddy, D. Aldrich, J. Raval, K. Christensen, J. Rosal, C. O’Brien, R. Khamankar, A. Marshall, W. Loh, R. McKee, and S. Krishnan. SRAM Cell Static Noise Margin and VMIN Sensitivity to Transistor Degradation. IEEE International Reliability Physics Symposium, pages 1-4, 2006.

    Google Scholar 

  41. V. Huard, R. Chevallier, C. Parthasarathy, A. Mishra, N. Ruiz-Amador, F. Persin, V. Robert, V, A. Chimeno, E. Pion, N. Planes, D. Ney, F. Cacho, N. Kapoor, V. Kulshrestha, S. Chopra, and N. Vialle. Managing SRAM reliability from bitcell to library level. IEEE International Reliability Physics Symposium, pages 655-664, 2010.

    Google Scholar 

  42. T. Kim, W. Zhang, and C. H. Kim. An SRAM Reliability Test Macro for Fully Automated Statistical Measurements of Degradation. IEEE Custom Integrated Circuits Conference, pages 231-234, 2009.

    Google Scholar 

  43. T. Grasser, H. Reisinger, W. Goes, T. Aichinger, P. Hehenberger, P-J. Wagner, M. Nelheibel, J. Franco, and B. Kaczer. Switching oxide traps as the missing link between negative bias temperature instability and random telegraph noise. IEEE International Electron Devices Meeting, pages 1-4, 2009.

    Google Scholar 

  44. S. Drapatz, K. Hofmann, G. Georgakos, and D. Schmitt-Landsiedel. Impact of fast-recovering NBTI degradation on stability of large-scale SRAM arrays. European Solid-State Device Research Conference, pages 146-149, 2010.

    Google Scholar 

  45. P. Jain, A. Paul, X. Wang, and C. H. Kim. A 32nm SRAM Reliability Macro for Recovery Free Evaluation of NBTI and PBTI Induced Bit Failures. IEEE International Electron Devices Meeting, pages 9.7.1-9.7.4, 2012.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John Keane .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Keane, J., Wang, X., Jain, P., Kim, C.H. (2014). On-Chip Silicon Odometers for Circuit Aging Characterization. In: Grasser, T. (eds) Bias Temperature Instability for Devices and Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-7909-3_27

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-7909-3_27

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-7908-6

  • Online ISBN: 978-1-4614-7909-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics