Skip to main content
  • 824 Accesses

Abstract

In the previous chapter, the architecture and operation for a MBC based generic reconfigurable framework was discussed in details. In this chapter, the focus is on a malleable hardware accelerator which leverages the memory based computing model for on-demand computation in an existing memory architecture. The accelerator is referred to as MAHA which stands for Malleable Hardware Accelerator. The idea is to equip existing memory architectures with additional logic which would allow a storage-only system to be transformed into a hardware reconfigurable platform on demand. This chapter describes the hardware architecture of the MAHA framework and steps for instrumenting an existing memory architecture to realize the same. Instrumentation means the design-time modifications which would allow the memory to act as a reconfigurable framework on demand.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. [Online], “CACTI 5.1”. http://www.hpl.hp.com/techreports/2008/HPL-2008-20.html

  2. R. Sangireddy, H. Kim, A.K. Somani, “Low-power high-performance reconfigurable computing cache architectures”. IEEE Trans. Comput. 53(10), (2004)

    Google Scholar 

  3. K.T. Park et al., “A 45nm 4Gb 3-Dimensional Double-Stacked Multi-Level NAND Flash Memory with Shared Bitline Structure”, in Intl. Solid-State Circuits Conference, 2008

    Google Scholar 

  4. B.V. Essen, R. Panda, A. Wood, C. Ebeling, S. Hauck, “Energy-Efficient Specialization of Functional Units a Coarse-Grained Reconfigurable Array”, in Intl. Symp. on FPGAs, 2011

    Google Scholar 

  5. [Online], “Mosaic Developing power-efficient coarse-grained reconfigurable architectures and tools”. http://www.cs.washington.edu/research/projects/lis/www/mosaic/

  6. H. Singh, M. Lee, G. Lu, F.J. Kurdahi, N. Bagherzadeh, E.M. Chaves Filho, “MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications”. IEEE Trans. Comput. 49(5), 465–481 (2000)

    Article  Google Scholar 

  7. R. Hartenstein, “A Decade of Reconfigurable Computing: A Visionary Retrospective”, in Design, Automation and Test in Europe (DATE), 642–649, (2001)

    Google Scholar 

  8. I. Kuon, J. Rose, “Measuring the gap between FPGAs and ASICs”. IEEE Trans. Comput. Aided Des. Integrat. Circ. Syst. 26(2), (2007)

    Google Scholar 

  9. G. Lemieux, D. Lewis, “Circuit Design of Routing Switches”, in Intl. Symp. on FPGAs, 2002

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Paul, S., Bhunia, S. (2014). MAHA Hardware Architecture. In: Computing with Memory for Energy-Efficient Robust Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-7798-3_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-7798-3_8

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-7797-6

  • Online ISBN: 978-1-4614-7798-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics