Skip to main content

Digital Impulse Radio Ultra Wide-Band Transmitter

  • Chapter
  • First Online:
Wireless Cortical Implantable Systems

Abstract

A CMOS impulse radio ultra wide-band (IR-UWB) digital transmitter is presented as the up-link communication module of a 64-channel parallel cortical recording implanted system. The transmitter is capable of transmitting live recording data at programmable rates of 1.5/3/6/12 Mb/s using a single 4 MHz clock. A novel all-digital delay locked loop (AD-DLL) serves as an 8-array pulse position modulator (PPM) enabling aggressive duty-cycling of the transmitter (Tx). The 8-PPM modulation scheme provides intrinsic scrambling of the pulse position which attenuates the spectral peaks. The UWB pulse generator is implemented using finite impulse response synthesis of the raised-cosine pulse. A symmetric pulse-combining technique is proposed to reduce the number of power amplifier elements by half, which enables reducing the parasitic at the Tx output, as well as enhancing the tuning range capability of the transmitter. The transmitter is implemented in a 90 nm CMOS technology, consumes 540 \(\upmu \)W from a 1 V power supply, which results in an energy efficiency of 45 pJ/bit with an output power of \({-}26\) dBm. The active silicon area is \(0.37\,\mathrm{{mm}}^{2}\). The modulated spectrum of the transmitter is fully compliant with USA Federal Communications Commission (FCC) effective isotropic radiated power (EIRP) modulation masks for indoor and outdoor UWB communication devices.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. IEEE Std. 802.15.4a (2007) IEEE 802.15.4a wireless MAC and PHY specifications for LR-WPANs. http://www.ieee802.org/15/pub/TG4a.html

  2. Wang X et al (2009) FCC-EIRP-aware UWB pulse generator design approach (invited). In: Proceedings of IEEE international conference on UWB (ICUWB’09), pp 592–596, Sept 2009

    Google Scholar 

  3. Xie H et al (2008) A varying pulse width 5th-derivative Gaussian pulse generator for UWB transceiver in CMOS. In: Proceedings of IEEE radio and wireless symposium (RWS’08), pp 171–174, Jan 2008

    Google Scholar 

  4. Kim H, Park D, Joo Y (2004) All-digital low-power CMOS pulse generator for UWB system. Electron Lett 40(24):1534–1535

    Google Scholar 

  5. He J, Zhang YP (2009) A fully integrated differential impulse radio transmitter. In: Proceedings of international symposium on integrated circuits (ISIC’09), pp 77–80, Dec 2009

    Google Scholar 

  6. Samïni L et al (July 2006) Single-chip CMOS pulse generator for UWB systems. IEEE J Solid-State Circ 41(7):1551–1561

    Article  Google Scholar 

  7. Yuce MR, Keong HC, Chae MS (2009) Wideband communication for implantable and wearable systems. IEEE Trans Microw Theory Tech 57(10):2597–2604

    Google Scholar 

  8. Oncu A, Wasanthamala Badalawa BBM, Fujishima M (2007) 22-29 GHz ultra-wideband CMOS pulse generator for short-range radar applications. IEEE J Solid-State Circ 42(7):1464–1471

    Article  Google Scholar 

  9. Terada T, Yoshizumi S, Muqsith M, Sanada Y, Kuroda T (2006) A CMOS ultra-wideband impulse radio transceiver for 1-Mb/s data communications and \(\pm \)2.5-cm range finding. IEEE J Solid-State Circ 41(4):891–898

    Article  Google Scholar 

  10. Norimatsu T et al (2007) A UWB-IR transmitter with digitally controlled pulse generator. IEEE J Solid-State Circ 42(6):1300–1309

    Article  Google Scholar 

  11. Wentzloff D, Chandrakasan AP (2007) A 47 pJ/pulse 3.1-to-5 GHz all-digital UWB transmitter in 90 nm CMOS. In: ISSCC digest of technical papers, pp 118–119, Feb 2007

    Google Scholar 

  12. Mercier PP, Daly DC, Chandrakasan AP (June 2009) An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers. IEEE J Solid-State Circ 44(6):1679–1688

    Article  Google Scholar 

  13. Phan AT, Lee J, Krizhanovskii V, Le Q, Han SK, Lee SG (2008) Energy-efficient low-complexity CMOS pulse generator for multiband UWB impulse radio. IEEE Trans Circ Syst-I 55(11):3552–3563

    Article  MathSciNet  Google Scholar 

  14. Soldà S, Caruso M, Bevilacqua A, Gerosa A, Vogrig D, Neviani A (2010) A 5 Mb/s UWB-IR CMOS transceiver with a 186 pJ/b and 150 pJ/b TX/RX energy request. In: Proceedings of European solid-state circuit conference (ESSCIRC’10), pp 498–501, Sept 2010

    Google Scholar 

  15. Joo S, Chen W-H, Choi T-Y, Oh M-k, Park J-h, Kim J-Y, Jung B (2010) A fully integrated 802.15.4a IR-UWB transceiver in \(0.13\,{\upmu}\)m CMOS with digital RRC synthesis. ISSCC digest of technical papers, pp 228–229, Feb 2010

    Google Scholar 

  16. Majidzadeh V, Schmid A, Leblebici Y, Rabaey J (2012) An 8-PPM, 45 pJ/bit UWB transmitter with reduced number of PA elements. In: Accepted to VLSI symposium on circuits, digest of technical papers, June 2012

    Google Scholar 

  17. Hori H, Moretti G, Rebora A, Crovato F (1972) The thickness of human scalp: normal and bald. J Invest Dermatol 58(6):396-399

    Google Scholar 

  18. http://niremf.ifac.cnr.it/tissprop/

  19. http://www.fractus.com/index.php/fractus/short-wireless/; document FR05-S1-P-0-107, Oct 2012

  20. Powell J (2007) Antenna design for ultra wideband radio. Master thesis, Massachusetts Institute of Technology (MIT), May 2007

    Google Scholar 

  21. Bagheri Nejad M (2008) Ultra wideband impulse Radio for wireless sensing and identification. PhD thesis, KTH Royal Institute of Technology (KTH), Dec 2008

    Google Scholar 

  22. Mesgarzadeh B, Alvandpour A (July 2009) A low-power digital DLL-based clock generator in open-loop mode. IEEE J Solid-State Circ 44(7):1907–1913

    Article  Google Scholar 

  23. Abidi A (2006) Phase noise and jitter in CMOS ring oscillator. IEEE J Solid-State Circ 41(8):1803–1816

    Article  Google Scholar 

  24. Joo S et al (2010) A fully integrated 802.15.4a IR-UWB transceiver in \(0.13\,\upmu\)m CMOS with digital RRC synthesis. In: ISSCC digest of technical papers, pp 228–229, Feb 2010

    Google Scholar 

  25. Park Y, Wentzloff D (2011) An all-digital 12 pJ/pulse IR-UWB transmitter synthesized from a standard cell library. IEEE J Solid-State Circ 46(5):1147

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vahid Majidzadeh Bafar .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Majidzadeh Bafar, V., Schmid, A. (2013). Digital Impulse Radio Ultra Wide-Band Transmitter. In: Wireless Cortical Implantable Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-6702-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-6702-1_6

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-6701-4

  • Online ISBN: 978-1-4614-6702-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics