Skip to main content

Energy-Efficient Design of High-Speed Links

  • Chapter
Power Aware Design Methodologies

Abstract

Techniques for reducing power consumption and bandwidth limitations of inter-chip communication have been getting more attention to improve the performance of modern digital systems. This chapter begins with a brief overview of high-speed link design and describes some of the power vs. performance trade-offs associated with various design choices. The chapter then investigates various techniques that a designer may employ to reduce power consumption. Three examples of link designs and link building blocks found in the literature present energy-efficient implementations of these techniques.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. G. Besten, “Embedded low-cost 1.2Gb/s inter-IC serial data link in 0.35mm CMOS,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 250–251.

    Google Scholar 

  2. M. Fukaishi et al, “A 20Gb/s CMOS multi-channel transmitter and receiver chip set for ultra-high resolution digital display,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb 2000, pp. 260–261.

    Google Scholar 

  3. S. Sidiropoulos et al, “A CMOS 500Mbps/pin synchronous point to point interface,” IEEE Symposium on VLSI Circuits, June 1994.

    Google Scholar 

  4. T. Tanahashi et al, “A 2Bb/s 21CH low-latency transceiver circuit for inter-processor communication,” IEEE Inťl Solid-State Circuits Conference Dig. Tech. Papers, Feb. 2001, pp. 60–61.

    Google Scholar 

  5. P. Galloway et al, “Using creative silicon technology to extend the useful like of backplane and card substrates at 3.125 Gbps and Beyond,” High-Performance System Design Conference, 20001.

    Google Scholar 

  6. R. Gu et al, “0.5–3.5Gb/s low-power low-jitter serial data CMOS transceiver,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb 1999, pp. 352–353.

    Google Scholar 

  7. J. Sonntag et al, “An adaptive PAM-4 5 Gb/s backplane transceiver in 0.25um CMOS,” IEEE Custom Integrated Circuits Conference, to be published 2002.

    Google Scholar 

  8. Y.M. Greshishchev et al, “A fully integrated SiGe receiver IC for 10Gb/s data rate,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 52–53.

    Google Scholar 

  9. J.P. Mattia et al, “A 1.4 demultiplexer for 40Gb/s fiber-optic applications,” IEEE Inťl Solid-State Circuits Conf. Dig, Tech. Papers, Feb. 2000, pp. 64–65.

    Google Scholar 

  10. Reese et al “A phase-tolerant 3.8 GB/s data-communication router for muli-processor super computer backplane,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, pp. 296–297, Feb. 1994.

    Google Scholar 

  11. E. Yeung et al, “A 2.4Gb/s/pin simultaneous bidirectional parallel link with per pin skew compensation,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 256–257.

    Google Scholar 

  12. J. Proakis, M. Salehi, Communications Systems Engineering, Prentice Hall, New Jersey, 1994.

    Google Scholar 

  13. G. Wei et al, “A variable-frequency parallel I/O interface with adaptive power-supply regulation,” IEEE Journal of Solid-State Circuits, vol. 35, no. 11, Nov. 2000, pp. 1600–1610.

    Google Scholar 

  14. B. Lau et al, “A 2.6Gb/s multi-purpose chip to chip interface,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb 1998, pp. 162–163.

    Google Scholar 

  15. A. DeHon et al, “Automatic impedance control,” 1993 IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, pp. 164–5, Feb. 1993.

    Google Scholar 

  16. J. Kim et al,“Adaptive supply serial links with sub-IV operation and per-pin clock recovery,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb 2002.

    Google Scholar 

  17. K. Donnelly et al, “A 660 MB/s interface megacell portable circuit in 0.3um–0.7mm CMOS ASIC,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, pp. 290–291, Feb 1996.

    Google Scholar 

  18. S. Sidiropoulos et al, “A 700-Mb/s/pin CMOS signalling interface using current integrating receivers,” IEEE Journal of Solid-State Circuits, May 1997, pp. 681–690.

    Google Scholar 

  19. M.-J. E. Lee et al, “Low-power area efficient high speed I/O circuit techniques,” IEEE Journal of Solid-State Circuits, vol. 35, Nov. 2000, pp. 1591–1599.

    Article  Google Scholar 

  20. F.M. Gardner, “Charge-pump phase-lock loops,” IEEE Transactions on Communications, vol. 28, no. 11, Nov. 1980, pp. 1849–1858.

    Article  Google Scholar 

  21. M. Johnson, “A variable delay line PLL for CPU-coprocessor synchronization,” IEEE Journal of Solid-State Circuits, vol. 23, no. 5, Oct. 1988, pp. 1218–1223.

    Google Scholar 

  22. M. Mansuri et al, “Jitter optimization based on phase-locked-loop design parameters,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2002.

    Google Scholar 

  23. M. Horowitz et al, “High-speed electrical signalling: Overview and limitations,” IEEE Micro, vol. 18, no. 1, Jan.–Feb. 1998, pp. 12–24.

    Article  MathSciNet  Google Scholar 

  24. S. Sidiropoulos and M. Horowitz, “A semi-digital dual delay-locked loop,” IEEE Journal of Solid-State Circuits, Nov. 1997, pp. 1683–1692.

    Google Scholar 

  25. K.-Y. K. Chang et al, “A 0.4–4Gb/s CMOS quad transceiver cell using on-chip regulated dual-loop PLLs,” IEEE Symposium on VLSI Circuits, accepted for publication June 2002.

    Google Scholar 

  26. W.J. Dally et al. Digital Systems Engineering, Cambridge University Press, 1998.

    Google Scholar 

  27. W. J. Dally et al, “Transmitter equalization for 4-Gbps signalling” IEEE Micro, Jan.–Feb. 1997. vol. 17, no. 1, pp. 48–56.

    Article  Google Scholar 

  28. R. Farjad-Rad et al, “A 0.3-μm CMOS 8-GS/s 4-PAM Serial Link Transceiver,” IEEE Symposium on VLSI Circuits Dig. Tech. Papers, pp. 41–44.

    Google Scholar 

  29. A. Fieldler et al, “A 1.0625 Gbps transceiver with 2X oversampling and transmit pre-emphasis,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 1997, pp. 238–239.

    Google Scholar 

  30. A.P. Chandrakasan et al, Low Power Digital CMOS Design. Norwell, MA: Kluwer Academic, 1995.

    Google Scholar 

  31. D. Dobberpuhl, “The design of a high performance low power microprocessor,” IEEE Inťl Symposium on Low Power Electronics and Design Dig. Tech. Papers, Aug. 1996, pp. 11–16.

    Google Scholar 

  32. M. Horowitz, “Low power processor design using self-clocking,” Workshop on Low-Power Electronics, 1993.

    Google Scholar 

  33. Zerbe et al, “A 2Gb/s/pin 4-PAM parallel bus interface with transmit crosstalk cancellation, equalization, and integrating receivers,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2001, pp. 66–67.

    Google Scholar 

  34. C.-K. Yang, “Design of high-speed serial links in CMOS,” Ph.D. dissertation, Stanford University, Stanford, CA, Decemeber 1998.

    Google Scholar 

  35. D. Weinlader et al, “An eight channel 36Gample/s CMOS timing analyzer,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 170–171.

    Google Scholar 

  36. K. Yang, “A scalable 32Gb/s parallel data transceiver with on-chip timing calibration circuits,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 258–259.

    Google Scholar 

  37. H. Johnson, “Multi-level signaling,” Design Con, Feb. 2000.

    Google Scholar 

  38. T. Burd et al, “A dynamic voltage scaled microprocessor system,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2000, pp. 294–295.

    Google Scholar 

  39. P. Maken, M. Degrauwe, M. Van Paemel and H. Oguey, “A voltage reduction technique for digital systems,” IEEE Inťl Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 1990, pp 238–239.

    Google Scholar 

  40. G. Wei et al, “A full-digital, energy-efficient adaptive power supply regulator,” IEEE Journal of Solid-State Circuits, vol. 34, no. 4, April 1999, pp. 520–528.

    Google Scholar 

  41. A. P. Chandrakasan et al, “Data driven signal processing: An approach for energy efficient computing,” IEEE Inťl Symposium on Low Power Electronics and Design Dig. Tech. Papers, Aug. 1996, pp. 347–352.

    Google Scholar 

  42. V. Gutnik et al, An efficient controller for variable supply voltage low power processing,” IEEE Symposium on VLSI Circuits Dig. Tech. Papers, June 1996, pp. 158–159.

    Google Scholar 

  43. L. Nielsen et al, “Low-power operation using self-timed circuits and adaptive scaling of supply voltage,” IEEE Trans. VLSI Systems., vol. 2, pp 391–397, Dec 1994.

    Google Scholar 

  44. A. J. Stratakos, “High-efficiency low-voltage DC-DC conversion for portable applications,” Ph.D. dissertation, University of California, Berkeley, CA, Dec. 1998.

    Google Scholar 

  45. K. Suzuki et al, “A 300 MIPS/W RISC core processor with variable supply-voltage scheme in variable threshold-voltage CMOS,” Proceedings of the IEEE Custom Integrated Circuits Conference, May 1997, pp. 587–590.

    Google Scholar 

  46. J. Kim et al, “A digital adaptive power-supply regulator using sliding control,” IEEE Symposium on VLSI Circuits Dig. Tech. Papers, June 2001.

    Google Scholar 

  47. S. Sidiropoulos et al, “Adaptive bandwidth DLL’s and PLL’s using regulated-supply CMOS buffers,” IEEE Symposium on VLSI Circuits Dig. Tech. Papers, June 2000.

    Google Scholar 

  48. J.G. Maneatis, “Low-Jitter process independent DLL and PLL based on self-biased techniques,” IEEE Journal of Solid-State Circuits, vol. 28, no. 12, Dec. 1993.

    Google Scholar 

  49. F. Bilaovic et al, “Sliding modes in electrical machines control systems,” IEEE Inťl Symp. on Industrial Electronics Conference Proceedings, 1992, pp. 73–78.

    Google Scholar 

  50. G. Wei et al “A low power switching power supply for self-clocked systems,” IEEE Symposium on Low Power Electronics, Oct. 1996, pp. 313–317.

    Google Scholar 

  51. R.C. Walker et al “A two-chip 1.5-GBd serial link interface,” IEEE Journal of Solid-State Circuits, vol. 27, no. 12, Dec. 1992, pp. 1805–1811.

    Article  Google Scholar 

  52. F.M. Gardner, “Frequency granularity in digital phase-lock loops,” IEEE Transactions on Communications, vol. 44, no. 6, June 1996, pp. 749–758.

    Article  Google Scholar 

  53. M.-J. E. Lee et al, “An 84-mW 4-Gb/s clock and data recovery circuit for serial link applications,” IEEE Symposium on VLSI Circuits Dig. Tech. Papers, June 2001.

    Google Scholar 

  54. L. Geppert, “Transmeta’s magic show [microprocessor chips],” IEEE Spectrum, vol. 37, no. 5, May 2000, pp. 26–33.

    Article  Google Scholar 

  55. R. Ho et al, “Interconnect scaling implications for CAD,” IEEE/ACM Inťl Conf. Computer Aided Design Dig. Tech. Papers, Nov. 1999, pp. 425–429.

    Google Scholar 

  56. P. Larsson, “Measurement and analysis of PLL jitter caused by digital switching noise,” IEEE Journal of Solid-State Circuits, July 2001, vol. 37, no. 7, pp. 1113–1119.

    Google Scholar 

  57. J.G. Maneatis, “Precise delay generation using coupled oscillators,” Ph.D. dissertation, Stanford University, Stanford, CA, June 1994.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Kluwer Academic Publishers

About this chapter

Cite this chapter

Wei, GY., Horowitz, M., Kim, J. (2002). Energy-Efficient Design of High-Speed Links. In: Pedram, M., Rabaey, J.M. (eds) Power Aware Design Methodologies. Springer, Boston, MA. https://doi.org/10.1007/0-306-48139-1_8

Download citation

  • DOI: https://doi.org/10.1007/0-306-48139-1_8

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7152-2

  • Online ISBN: 978-0-306-48139-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics