Skip to main content

Energy-Efficient System-Level Design

  • Chapter
Power Aware Design Methodologies

Abstract

The complexity of current and futureintegrated systems requires a paradigm shift towards component-based design technologies that enable the integration of large computational cores, memory hierarchies and communication channels as well as system and application software onto a single chip. Moving from a set of case studies, we give an overview of energy-efficient system- level design, emphasizing a component-based approach.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. K. Mai, T. Paaske, N. Jayasena, R. Ho, W. Dally, M. Horowitz, “Smart Memories: a modular reconfigurable architecture,” IEEE International Symposium on Computer Architecture, pp. 161–171, June 2000.

    Google Scholar 

  2. D. Patterson, et al., “A Case for intelligent RAM,” IEEE Micro, vol. 17, no. 2, pp. 34–44, March–April 1997.

    Article  Google Scholar 

  3. Shubat, “Moving the market to embedded memory,” IEEE Design & Test of Computers, vol. 18, no. 3, pp. 16–27, May–June 2001.

    Article  Google Scholar 

  4. M. Suzuoki et al., “A Microprocessor with a 128-bit CPU, Ten Floating-Point MACs, Four Floating-Point Dividers, and an MPEG-2 Decoder,” IEEE Journal of Solid-State Circuits, vol. 34, no. 11, pp. 1608–1618, Nov. 1999.

    Article  Google Scholar 

  5. Kunimatsu et al., “Vector Unit Architecture for Emotion Synthesis,” IEEE Micro, vol. 20, no. 2, pp. 40–47, March–April 2000.

    Article  Google Scholar 

  6. L. Benini, G. De Micheli, “System-Level Power Optimization: Techniques and Tools,” ACM Transactions on Design Automation of Electronic Systems, vol. 5, no. 2, pp. 115–192, April 2000.

    Article  Google Scholar 

  7. M. Takahashi et al., “A 60-MHz 240-mW MPEG-4 Videophone LSI with 16-Mb embedded DRAM,” IEEE Journal of Solid-State Circuits, vol. 35, no. 11, pp. 1713–1721, Nov. 2000.

    Article  Google Scholar 

  8. H. V. Tran et al., “A 2.5-V, 256-level nonvolatile analog storage device using EEPROM technology,” IEEE International Solid-State Circuits Conference, pp. 270–271, Feb. 1996.

    Google Scholar 

  9. G. Jackson et al., “An Analog Record, playback and processing system on a chip for mobile communications devices,” IEEE Custom Integrated Circuits Conference, pp. 99–102, San Diego, CA, May 1999.

    Google Scholar 

  10. M. Borgatti et al., “A 64-Min Single-Chip Voice Recorder/Player Using Embedded 4-b/cell FLASH Memory,” IEEE Journal of Solid-State Circuits, vol. 36, no. 3, pp. 516–521, March. 2001.

    Article  Google Scholar 

  11. Macii, L. Benini, M. Poncino, Memory Design Techniques for Low Energy Embedded Systems, Kluwer, 2002.

    Google Scholar 

  12. Gartner, Inc., Final 2000 Worldwide Semiconductor Market Share, 2000.

    Google Scholar 

  13. F. Catthoor, S. Wuytack, E. De Greef, F. Balasa, L. Nachtergaele, and A. Vandecappelle, Custom Memory Management Methodology: Exploration of Memory Organization for Embedded Multimedia System Design, Kluwer, 1998

    Google Scholar 

  14. D. Lidsky, J. Rabaey, “Low-power design of memory intensive functions,” IEEE Symposium on Low Power Electronics, San Diego, CA, pp. 16–17, September 1994.

    Google Scholar 

  15. P. R. Panda, F. Catthor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandecappelle, P. G. Kjeldsberg, “Data and memory optimization techniques for embedded systems,” ACM Transactions on Design Automation of Electronic Systems, vol. 6, no. 2, pp. 149–206, April 2001.

    Article  Google Scholar 

  16. W Shiue, C. Chakrabarti, “Memory exploration for low power, embedded systems,” DAC-36: ACM/IEEE Design Automation Conference, pp. 140–145, June 1999.

    Google Scholar 

  17. L. Su, A. Despain, “Cache design trade-offs for power and performance optimization: A case study,” ACM/IEEE International Symposium on Low Power Design, pp. 63–68, April 1995.

    Google Scholar 

  18. M. Kamble, K. Ghose, “Analytical energy dissipation models for low-power caches,” ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 143–148, August 1997.

    Google Scholar 

  19. U. Ko, P. Balsara, A. Nanda, “Energy optimization of multilevel cache architectures for RISC and CISC processors,” IEEE Transactions on VLSI Systems, vol. 6, no. 2, pp. 299–308, June 1998.

    Google Scholar 

  20. R. Bahar, G. Albera, S. Manne, “Power and performance tradeoffs using various caching strategies,” ACM/IEEE International Symposium on Low Lower Electronics and Design, pp. 64–69, Aug. 1998.

    Google Scholar 

  21. V. Zyuban, P. Kogge, “The energy complexity of register files,” ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 305–310, Aug.t 1998.

    Google Scholar 

  22. S. Coumeri, D. Thomas, “Memory modeling for system synthesis,” ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 179–184, Aug. 1998.

    Google Scholar 

  23. T. Juan, T. Lang, J. Navarro, “Reducing TLB power requirements,” ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 196–201, August 1997.

    Google Scholar 

  24. Farrahi, G. Tellez, M. Sarrafzadeh, “Memory segmentation to exploit sleep mode operation,” ACM/IEEE Design Automation Conference, pp. 36–41, June 1995.

    Google Scholar 

  25. Gonzàlez, C. Aliagas, M. Valero, “A Data-cache with multiple caching strategies tuned to different types of locality,” ACM International Conference on Supercomputing, pp. 338–347, July 1995.

    Google Scholar 

  26. V. Milutinovic, B. Markovic, M. Tomasevic, M. Tremblay, “A new cache architecture concept: The Split Temporal/Spatial Cache,” IEEE Mediterranean Electrotechnical Conference, pp. 1108–1111, March 1996.

    Google Scholar 

  27. P. Grun, N. Dutt, A. Nicolau, “Access pattern based local memory customization for low-power embedded systems,” Design Automation and Test in Europe, pp. 778–784, March 2001.

    Google Scholar 

  28. R. Bajwa, M. Hiraki, H. Kojima, D. Gorny, K. Nitta, A. Shridhar, K. Seki, K. Sasaki, “Instruction buffering to reduce power in processors for signal processing,” IEEE Transactions on VLSI Systems, vol. 5, no. 4, pp. 417–424, Dec. 1998.

    Google Scholar 

  29. J. Kin, M. Gupta, W. Mangione-Smith, “The filter cache: an energy efficient memory structure,” IEEE/ACM International Symposium on Microarchitecture, pp. 184–193, Dec. 1997.

    Google Scholar 

  30. P. Panda, N. Dutt, Memory Issues in Embedded Systems-on-Chip Optimization and Exploration, Kluwer, 1999.

    Google Scholar 

  31. P. Panda, N. Dutt, A. Nicolau, “On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems,” ACM Transactions on Design Automation of Electronic Systems, vol. 5, no. 3, pp. 682–704, July 2001.

    Google Scholar 

  32. J. Hennessy, D. Patterson, Computer Architecture — A Quantitative Approach, II Edition, Morgan Kaufmann Publishers, 1996.

    Google Scholar 

  33. D. C. Burger, Hardware Techniques to Improve the Performance of the Processor/Memory Interface, Ph.D. Dissertation, University of Wisconsin-Madison, 1998.

    Google Scholar 

  34. D. Burger, J. Goodman, A. Kagle, “Limited bandwidth to affect processor design,” IEEE Micro, vol. 17, no. 6, November/December 1997.

    Google Scholar 

  35. L. Benini, A. Bogliolo, G. De Micheli, “A survey of design techniques for system-level dynamic power management,” IEEE Transactions on Very Large-Scale Integration Systems, vol. 8, no. 3, pp. 299–316, June 2000.

    Google Scholar 

  36. H. Lekatsas, W. Wolf, “Code compression for low power embedded systems,” ACM/IEEE Design Automation Conference, pp. 294–299, June 2000.

    Google Scholar 

  37. S. Liao, S. Devadas, K. Keutzer, “Code density optimization for embedded DSP processors using Data compression techniques,” IEEE Transactions on CAD/ICAS, vol. 17, no. 7, pp. 601–608, July 1998.

    Google Scholar 

  38. Y. Yoshida, B. Song, H. Okuhata, T. Onoye, I. Shirakawa, “An object code compression approach to embedded processors,” ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 265–268, August 1997.

    Google Scholar 

  39. L. Benini, D. Bruni, A. Macii, E. Macii, “Hardware-assisted data compression for energy minimization in systems with embedded processors,” IEEE Design and Test in Europe, pp. 449–453, March. 2002.

    Google Scholar 

  40. C. Lefurgy, Efficient Execution of Compressed Programs, Doctoral Dissertation, Dept. of CS and Eng., University of Michigan, 2000.

    Google Scholar 

  41. D. Sylvester and K. Keutzer, “A global wiring paradigm for deep submicron design,” IEEE Transactions on CAD/ICAS, vol.19, No. 2, pp. 242–252, February 2000.

    Google Scholar 

  42. L. Benini and G. De Micheli, “Networks on chip: a new SoC paradigm,” IEEE Computers, January 2002, pp. 70–78.

    Google Scholar 

  43. H. Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison-Wesley, 1990

    Google Scholar 

  44. W. Dally and J. Poulton, Digital Systems Engineering, Cambridge University Press, 1998.

    Google Scholar 

  45. R. Hegde, N. Shanbhag, “Toward achieving energy efficiency in presence of deep submicron noise,” IEEE Transactions on VLSI Systems, pp. 379–391, vol. 8, no. 4, August 2000.

    Google Scholar 

  46. J. Duato, S. Yalamanchili, L. Ni, Interconnection Networks: an Engineering Approach. IEEE Computer Society Press, 1997.

    Google Scholar 

  47. D. Bertozzi, L. Benini and G. De Micheli, “Low-power error-resilient encoding for onchip data busses,” IEEE Design and Test in Europe, pp. 102–109, March 2002.

    Google Scholar 

  48. B. Ackland et al., “A Single chip, 1.6-Billion, 16-b MAC/s multiprocessor DSP,” IEEE Journal of Solid-State Circuits, vol. 35, no. 3, March 2000.

    Google Scholar 

  49. H. Zhang, V. George, J. Rabaey, “Low-swing on-chip signaling techniques: effectiveness and robustness,” IEEE Transactions on VLSI Systems, vol. 8, no. 3, pp. 264–272, June 2000.

    Google Scholar 

  50. W. Bainbridge, S. Furber, “Delay insensitive system-on-chip interconnect using l-of-4 data encoding,” IEEE International Symposium on synchronous Circuits and Systems, pp. 118–126, 2001.

    Google Scholar 

  51. H. Zhang, M. Wan, V. George, J. Rabaey, “Interconnect architecture exploration for low-energy configurable single-chip DSPs,” IEEE Computer Society Workshop on VLSI, pp. 2–8, 1999.

    Google Scholar 

  52. P. Aldworth, “System-on-a-chip bus architecture for embedded applications,” IEEE International Conference on Computer Design, pp. 297–298, Nov. 1999.

    Google Scholar 

  53. B. Cordan, “An efficient bus architecture for system-on-chip design,” IEEE Custom Integrated Circuits Conference, pp. 623–626, 1999.

    Google Scholar 

  54. S. Winegarden, “A bus architecture centric configurable processor system,” IEEE Custom Integrated Circuits Conference, pp. 627–630, 1999.

    Google Scholar 

  55. R. Yoshimura, T. Koat, S. Hatanaka, T. Matsuoka, K. Taniguchi, “DS-CDMA wired bus with simple interconnection topology for parallel processing system LSIs,” IEEE Solid-State Circuits Conference, pp. 371–371, Jan. 2000.

    Google Scholar 

  56. P. Guerrier, A. Grenier, “A generic architecture for on-chip packet-switched interconnections,” Design Automation and Test in Europe Conference, pp. 250–256, 2000.

    Google Scholar 

  57. C. Patel, S. Chai, S. Yalamanchili, D. Shimmel, “Power constrained design of multiprocessor interconnection networks,” IEEE International Conference on Computer Design, pp. 408–416, 1997.

    Google Scholar 

  58. J. Walrand, P. Varaiya, High-Performance Communication Networks. Morgan Kaufman, 2000.

    Google Scholar 

  59. Papadimitriou, M. Paterakis, “Energy-conserving access protocols for transmitting data in unicast and broadcast mode,” International Symposium on Personal, Indoor and Mobile Radio Communication, pp. 416–420, 2000.

    Google Scholar 

  60. Y. Lu, L. Benini and G. De Micheli, “Power Aware Operating Systems for Interacting Systems,” IEEE Transactions on VLSI, April 2002.

    Google Scholar 

  61. H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, J. Rabaey, “A 1-V Heterogeneous Reconfigurable DSP IC for Wireless Baseband Digital Signal Processing,” IEEE Journal of Solid-State Circuits, vol. 35, no. 11, pp. 1697–1704, Nov. 2000.

    Google Scholar 

  62. S. Manne, A. Klauser, D. Grunwald, “Pipeline gating: speculation control for energy reduction,” International Symposium on Computer Architecture, pp. 122–131, July 1998.

    Google Scholar 

  63. R. Panda et al., “Data memory organization and optimization in application-specific systems,” IEEE Design & Test of Computers, vol. 18, no. 3, pp. 56–68, May–June 2001.

    Google Scholar 

  64. M. Lipasti, C. Wilkerson, and J. Shen, “Value locality and load value prediction,” ASPLOS, pp. 138–147, 1996

    Google Scholar 

  65. G. Lakshminarayana, A. Raghunathan, K. Khouri, K. Jha, and S. Dey, “Common-case computation: a high-level technique for power and performance optimization,” Design Automation Conference, pp. 56–61, 1999

    Google Scholar 

  66. K. Lepak and M. Lipasti, “On the value locality of store instructions,” ISCA, pp. 182–191, 2000

    Google Scholar 

  67. S.E. Richardson, “Caching function results: faster arithmetic by avoiding unnecessary computation,” Tech. report, Sun Microsystems Laboratories, 1992

    Google Scholar 

  68. E.Y. Chung, L. Benini and G. De Micheli, “automatic source code specialization for energy reduction,” ISLPED, IEEE Symposium on Low Power Electronics and Design, 2000, pp. 80–83.

    Google Scholar 

  69. J. Crenshaw math Toolkit for Real-Time Programming, CMP Books, kansas, 2000.

    Google Scholar 

  70. Peymandoust, T. Širnunić and G. De Micheli, “Complex library mapping for embedded software using symbolic algebra,” DAC, Design Automation Conference, 2002.

    Google Scholar 

  71. Aho, R. Sethi, J. Ullman, Compilers. Principles, Techniques and Tools. Addison-Wesley, 1988.

    Google Scholar 

  72. H. Mehta, R. Owens, M. Irwin, R. Chen, D. Ghosh, “Techniques for low energy software,” International Symposium on Low Power Electronics and Design, pp. 72–75, Aug 1997.

    Google Scholar 

  73. G. Goossens, P. Paulin, J. Van Praet, D. Lanneer, W. Guerts, A. Kifli and C. Liem, “Embedded software in real-time signal processing systems: design technologies,” Proceedings of the IEEE, vol. 85, no. 3, pp. 436–54, March 1997.

    Article  Google Scholar 

  74. V. Tiwari, S. Malik, A. Wolfe, “Power analysis of embedded software: a first step towards software power minimization,” IEEE Transactions on VLSI Systems, vol. 2, no.4, pp. 437–445, Dec. 1994.

    Google Scholar 

  75. M. Lorenz, R. Leupers, P. Marwedel, T. Drager, G. Fettweis, “Low-energy DPS code generation using a genetic algorithm,” IEEE International Conference on Computer Design, pp. 431–437, Sept 2001.

    Google Scholar 

  76. V. Tiwari, S. Malik, A. Wolfe, M. Lee, “Instruction level poweranalysis and optimization of software,” Journal of VLSI Signal Processing, vol. 13, no. 1–2, pp. 223–233, 1996.

    Google Scholar 

  77. Su, C. Tsui, A. Despain, “Saving power in the control path of embedded processors,” IEEE Design and Test of Computers, vol. 11, no. 4, pp. 24–30, Winter 1994.

    Google Scholar 

  78. G. De Micheli, Synthesis and Optimization of Digital Circuits, McGraw-Hill, 1994.

    Google Scholar 

  79. M. Wolfe, High Performance Compilers for Parallel Computing, Addison-Wesley, 1996.

    Google Scholar 

  80. M. Kandemir, M. Vijaykrishnan, M. Irwin, W. Ye, “Influence of compiler optimizations on system power,” IEEE Transactions on VLSI Systems, vol. 9, no. 6, pp. 801–804, Dec. 2001.

    Google Scholar 

  81. H. Kim, M. Irwin, N. Vijaykrishnan, M. Kandemir, “Effect of compiler optimizations on memory energy,” IEEE Workshop on Signal Processing Systems, pp. 663–672, 2000.

    Google Scholar 

  82. Y. Lu, L. Benini and G. De Micheli, “Requester-Aware Power Reduction,” ISSS, International System Synthesis Symposium, 2000, pp. 18–23.

    Google Scholar 

  83. D. Shin, J. Kim, “A profile-based energy-efficient intra-task voltage scheduling algorithm for hard real-time applications,” IEEE International Symposium on Low-Power Electronics and Design, pp. 271–274, Aug. 2001.

    Google Scholar 

  84. S. Chirokoff and C. Consel, “Combining program and data specialization,” ACM S1GPLAN Workshop on Partial Evaluation and Semantics-Based Program Manipulation (PEPM’ 99), pp. 45–59, San Antonio, Texas, USA, January 1999

    Google Scholar 

  85. D. Ditzel, ‘Transmeta’s Crusoe: Cool chips for mobile computing,” Hot Chips Symposium

    Google Scholar 

  86. R. Ho, K. Mai, M. Horowitz, “The future of wires,” Proceedings of the IEEE, January 2001.

    Google Scholar 

  87. K. Lahiri, A. Raghunathan, G. Lakshminarayana, S. Dey, “Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chip,” IEEE/ACM Design Automation Conference, pp. 513–518, 2000.

    Google Scholar 

  88. H. Mehta, R. M. Owens, M. J. Irwin, “Some issues in gray code addressing,” Great Lakes Symposium on VLSI, pp. 178–180, March 1996.

    Google Scholar 

  89. Redhat, Linux-ARM math Library Reference Manual

    Google Scholar 

  90. T. Theis, “The future of Interconnection Technology,” IBM Journal of Research and Development, vol. 44, No. 3, May 2000, pp. 379–390.

    Article  MathSciNet  Google Scholar 

  91. Wolfe, “Issues for low-power CAD tools: a system-level design study,” Design Automation for Embedded System, vol. 1, no. 4, pp. 315–332, 1996.

    MathSciNet  Google Scholar 

  92. International Technology Roadmap for Semiconductors http:///public.itrs.net/

  93. Cygnus Solutions, eCOS reference Manual, 1999

    Google Scholar 

  94. D. Bertsekas, R. Gallager, Data Networks. Prentice Hall, 1991.

    Google Scholar 

  95. J. Montanaro et al, “A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor,” IEEE Journal of Solid-State Circuits, vol. 31, no. 11, pp. 1703–1714, Nov. 1996.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Kluwer Academic Publishers

About this chapter

Cite this chapter

Benini, L., De Micheli, G. (2002). Energy-Efficient System-Level Design. In: Pedram, M., Rabaey, J.M. (eds) Power Aware Design Methodologies. Springer, Boston, MA. https://doi.org/10.1007/0-306-48139-1_16

Download citation

  • DOI: https://doi.org/10.1007/0-306-48139-1_16

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7152-2

  • Online ISBN: 978-0-306-48139-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics