Skip to main content

Advances in Optics and Exposure Devices Employed in Excimer Laser/EUV Lithography

  • Living reference work entry
  • First Online:
Handbook of Laser Micro- and Nano-Engineering

Abstract

Semiconductor lithography currently provides a resolution close to 10 nm, with the aim of producing extremely fine patterning. Over the last decade, lithography techniques have progressed from ArF immersion to multiple patterning to extreme ultraviolet lithography (EUVL) operating at a wavelength of 13.5 nm. During this period, the first-generation lithography devices were immersion systems and achieved 40 nm resolution through a 1.35 numerical aperture (NA) projection lens in conjunction with an ArF laser (operating at 193 nm) and water immersion. To make full use of the capability of the projection lens, flexible illumination systems were developed involving mirror components combined with microelectromechanical systems. Such improvements occurred simultaneously with the optimization of pattern designs and improved image quality. In order to adhere to Moore’s law, multiple patterning technologies followed, combined with ArF immersion devices. Semiconductor process technologies were applied to lithography and provided doubled or even quadrupled resolution.

The realization of practical EUVL devices has been delayed by more than 10 years from the original schedule, but the high potential of EUVL has ensured continued work toward the goal. Significant improvements in EUVL, including the development of high-power light sources, have been realized during the past 5 years, and the basic resolution capability of this technique has been demonstrated. Though some issues still remain, such as stochastic effects, EUVL is now transitioning to the high-volume manufacturing stage in conjunction with projection optics having an NA of 0.33 and capable of resolving 13 nm half-pitch patterns. Future systems are also being developed that incorporate a special anamorphic projection optics with an NA of 0.55, capable of resolving 8 nm half-pitch patterns.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

References

  • Abbe E (1873) Beiträge zur Theorie des Mikroskops und microskopischen Wahrnehmung. Arch Mikrosk Anat 9(1):413–468

    Article  Google Scholar 

  • Badger K, Yonetani M, Toda Y, Kagawa M, Isogawa T, Heumann J (2018) WEREWOLF: sensitivity optimization for early 7 nm EUV masks using an optical 19x nm inspection system. In: Proceedings of SPIE 10810 “Photomask technology+ extreme ultraviolet lithography 2018” 1081008

    Google Scholar 

  • Borodovsky Y (2018) Moore’s law at and beyond 5nm. SPIE “Advanced lithography 2018”. Plenary presentation, 26 Feb. San Jose

    Google Scholar 

  • Brouns D, Bendiksen A, Broman P, Casimiri E, Colsters P, Delmastro P, De Graaf D, Janssen P, van de Kerkhof M, Kramer R, Kruizinga M, Kunzel H, van der Meulen M, Ockwell D, Petre M, Smith D, Verbrugge B, van de Weg D, Wiley J, Wojewoda N, Zoldesi C, van Zwol P (2016) NXE pellicle: offering a EUV pellicle solution to the industry. In: Proceedings of SPIE 9776 “Extreme ultraviolet (EUV) lithography VII” 97761Y

    Google Scholar 

  • Bruning JH (2007) Optical lithography: 40 years and holding. In: Proceedings of SPIE 6520 “Optical microlithography XX” 652004

    Google Scholar 

  • Capelli R, Dietzel M, Hellweg D, Kersteen G, Gehrke R, Bauer M (2018) AIMS™ EUV tool platform: aerial-image based qualification of EUV masks. In: Proceedings of SPIE 10810 “Photomask technology 2018” 108100V

    Google Scholar 

  • De Bisschop P, Hendrickx E (2019) Stochastic printing failures in EUV lithography. In: Proceedings of SPIE 10957 “Extreme ultraviolet (EUV) lithography X” 109570E

    Google Scholar 

  • de Boeij W, Pietternalla R, Bouchoms I, Leenders M, Hoofman M, de Graaf R, Kokk H, Broman P, Smits J, Kuit J-J, McLaren M (2013) Extending immersion lithography down to 1x nm production nodes. In: Proceedings of SPIE 8683 “Optical microlithography XXVI” 86831L

    Google Scholar 

  • de Graaf R, Weichselbaum S, Droste R, McLaren M, Koek B, de Boeij W (2016) NXT:1980Di immersion scanner for 7nm production nodes. In: Proceedings of SPIE 9780 “Optical microlithography XXIX” 978011

    Google Scholar 

  • de Klerk J, Wagner C, Droste R, Levasier L, Jorritsuma L, van Setten E, Kattouw H, Jacobs J, Heil T (2007) Performance of a 1.35NA ArF immersion lithography system for 40-nm applications. In: Proceedings of SPIE 6520 “Optical microlithography XX” 652069

    Google Scholar 

  • Erdmann A, Evanshitzky P, Bottiglieri G, van Setten E, Fliervoeot T (2019) 3D mask effects in high NA imaging. In: Proceedings of SPIE 10957 “Extreme ultraviolet (EUV) lithography X” 109570Z

    Google Scholar 

  • Fujimori T, Tsubaki H, Furutani H, Nihashi W, Shirakawa M, Takahashi H, Nishiyama F (2016) Novel EUV resist materials for N7 and beyond. In: International symposium on extreme ultraviolet lithography, 24–26 October, Hiroshima

    Google Scholar 

  • Gallagher E, Hyughrdt C, Lee JU, Pollentier I, Timmermans M, Zahedmans H (2016) Introducing the EUV CNT pellicle. 2016 EUVL symposium, 24–26 October, Hiroshima

    Google Scholar 

  • Gallatin GM (2005) Resist blur and line edge roughness. In: Proceedings of SPIE 5754 “Optical microlithography XVIII” 38

    Google Scholar 

  • Geh B (2019) EUVL: the natural evolution of optical microlithography (key note presentation). In: Proceedings of SPIE 10957 “Extreme ultraviolet (EUV) lithography X” 10957

    Google Scholar 

  • Geh B, Ruoff J, Zimmermann J, Graeupner P, Totzeck M, Mengel M, Hempelmann U, Schmitt-Weaver E (2007) The impact of projection lens polarization properties on lithographic process at hyper-NA. In: Proceedings of SPIE 6520 “Optical Microlithography XX” 65200F

    Google Scholar 

  • Grenville A (2016) Progress in fab integration for metal-oxide resists on the path to HVM. In: EUVL symposium, 24–26 October, Hiroshima

    Google Scholar 

  • Hendrickx E, Ronse K, Vandenberghe G, McIntyre G, Goethhals M, De Simone D, Foubert P, Pollentier I, Jonckheere R, Baudemprez B, Gallagher E, Philipsen V. van Look L, van den Heuvel D, Hermans J, Leray P, Gillijns W, Cheng S, Fliervoet T, Timoshkov V, Wittebrood F, van Es R, van der Veer M, Cox R, Lenens B (2014) EUV lithography on the move from pre-production to production. In: EUVL symposium, 27–29 October, Washington, DC

    Google Scholar 

  • Hong J, Park C, Lee C, Nam K, Jang Y, Wi S, Ahn J (2018) Development of fullsize EUV pellicle with thermal emission layer coating. In: Proceedings of SPIE 10809 “International conference on extreme ultraviolet lithography 2018” 108090R

    Google Scholar 

  • Ishiyama S, Ohmura Y, Tsuge Y, Hirayama T, Ikezawa H, Inoue D, Kitamura Y, Koizumi Y, Hasegawa K, Nakashima T, Kikuchi T, Onda M, Takase Y, Nagahiro A, Isago S, Kawahara K (2016) High-order aberration control during exposure for leading-edge projection optics. In: Proceedings of SPIE 9780 “Optical microlithography XXIX” 97800Y

    Google Scholar 

  • Kaiser W (2015) EUV optics: achievements and future perspective. In: EUVL symposium, 5–7 October 2015, Maastricht

    Google Scholar 

  • Kinoshita H, Kaneko T, Takei H, Takeuchi N, Ishihara S (1986) Study on x-ray reduction projection lithography. In: 47th autumn meeting of the Japan. Soc Appl Phys 322 (in Japanese)

    Google Scholar 

  • Kirk J (1994) Scattered light in photolithographic lenses. In: Proceedings of SPIE 2197 “Optical/laser microlithography VII” 566

    Google Scholar 

  • Knee B, Migra S, Kaiser W, Timo Neumann J, van Schoot J (2015) EUV lithography optics for sub 9 nm resolution. In: Proceedings of SPIE 9422 “Extreme ultraviolet (EUV) lithography VI” 94221G

    Google Scholar 

  • Lin BJ (1986) Where is the lost resolution? In: Proceedings of SPIE 633 “Optical microlithography V” 44

    Google Scholar 

  • Lin BJ (2002) Semiconductor foundry, lithography and partners. In: Proceedings of SPIE 4688 “Emerging lithographic technologies VI” 11

    Google Scholar 

  • Markle DA (1974) A new projection printer. Solid State Technol June, 50

    Google Scholar 

  • Mastenbroek M (2018) EUV industrialization high volume manufacturing with NXE3400B. In: Proceedings of SPIE 10809 “International conference on extreme ultraviolet lithography 2018” 1080904

    Google Scholar 

  • Matsuyama T, Ohmura Y, Williamson DM (2006) Lithographic lens: its history and evolution. In: Proceedings of SPIE 6154 “Optical microlithography XIX” 615403

    Google Scholar 

  • Migura S, Kaiser W, Neumann T, Enkisch H, Heilweg D (2017) Optical system for EUVL. In: EUV lithography, 2nd edn. SPIE Press, Bellingham

    Google Scholar 

  • Moore G (1965) Cramming more components in digital integrated electronics. Electron Mag 38(8):114

    Google Scholar 

  • Mulder M, Engelen A, Noordman O, Syreutker G, van Drieenhuizen B, van Neunen C, Endendijk W, Verbeeck J, Bouman W, Bouma A, Kazinczi R, Socha R, Juergens D, Zimmermann J, Trauter B, Bakaert J, Laenens B, Corliss D, McIyntyre G (2010) Performance of FlexRay, a fully programmable illumination system for generating Freeform sources on high NA immersion systems. In: Proceedings of SPIE 7640 “Optical microlithography XXIII” 76401P

    Google Scholar 

  • Mulkens J, Hanna M, Wei H, Vaenkatesan V, Megens H, Slotboom D (2015) Overlay and edge placement control strategies for the 7-nm node using EUV and ArF lithography. In: Proceedings of SPIE 9422 “Extreme ultraviolet (EUV) lithography VI” 94221Q

    Google Scholar 

  • Ober CK, Xu H, Kosma V, Sakai K, Giannelis EP (2018) EUV photolithography: resist progress and challenges. In: Proceedings of SPIE 10583 “Extreme ultraviolet (EUV) lithography IX” 1058306

    Google Scholar 

  • Offner A (1973) Unit power imaging catoptric anastigmat. USP 3,748,015

    Google Scholar 

  • Omura Y, Shiraishi N, Owa S (2003) Projection exposure apparatus and method, catadioptric optical and manufacturing method of devices. US2003/0011755 A1

    Google Scholar 

  • Onoue T, Syoki T, Horikawa J (2015) Recent progress of EUV blanks development. 2015 EUVL symposium, 5–7 October, Maastricht

    Google Scholar 

  • Owa S, Nagasaka H (2003) Immersion lithography; its potential performance and issues. In: Proceedings of SPIE 5040 “Optical microlithography XVI” 724

    Google Scholar 

  • Popescu C, Kazazis D, McClelland A, Dawson G, Roth J, Theis W, Ekinici Y, Robinson APG (2018) High-resolution EUV lithography using a Multi-trigger resist. In: Proceedings of SPIE 10583 “Extreme ultraviolet (EUV) lithography IX” 1058354

    Google Scholar 

  • Progler C, Wheeler D (1998) Optical lens specification from the user’s perspective. In: Proceedings of SPIE 3334 “Optical microlithography XI” 256

    Google Scholar 

  • Rosenbluth A, Bukofski S, Hibbs M, Lai K, Molles A, Singh R, Wong A (2001) Optimum mask and source patterns to print a given shape. In: Proceedings of SPIE 4346 “Optical microlithography XIV” 486

    Google Scholar 

  • Schllenberg FM (2004) Resolution enhancement technology, the past, the present and the extension to the future. In: Proceedings of SPIE 5377 “Optical microlithography XVII” 1

    Google Scholar 

  • Scott RM (1974) Annular field optical imaging system. USP 3,821,763

    Google Scholar 

  • Shafer D, Ulrich W, Dodoc A, von Buenau R, Mann H-J, Epple A (2005) Catadioptric projection objective. PCT WO 2005/069055 A2

    Google Scholar 

  • Shibayama W, Shigaki S, Takeda S, Nakajima M, Sakamoto R (2017) Single-nm resolution approach by DDRP and DDRM. In: Proceedings of SPIE 10143 “Extreme ultraviolet (EUV) lithography VIII” 10143

    Google Scholar 

  • Shirata Y, Shibazaki Y, Kosugi J, Kikuchi T, Ohmura Y (2013) High productivity immersion scanner enabling 1xnm hp manufacturing. In: Proceedings of SPIE 8683 “Optical microlithography XXVI” 86831K

    Google Scholar 

  • Sluijk B, Castenmiller T, de Jongh R, Jasper H, Modderman T, Levasier L, Loopstra E, Savenije G, Boonman M, Cox H (2001) Performance results of a new generation 300mm lithography systems. In: Proceedings of SPIE 4346 “Optical microlithography XIV” 544

    Google Scholar 

  • Smith BW (2014) The saga of sigma; influences of illumination throughout optical generations. In: Proceedings of SPIE 9052 “Optical microlithography XXVII” 905204

    Google Scholar 

  • Staals F, Andryzhyeuskaya A, Bakker H, Beems M, Finders J, Hollink T, Mulkens J, Nachtwein A, Willekers R, Engblom P, Gruner T, Zhang Y (2011) Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner. In: Proceedings of SPIE 7973 “Optical microlithography XXIV” 76731G

    Google Scholar 

  • Suzuki A (1983) Complete analysis of two-mirror, unit magnification system I. Appl Opt 22:3943

    Article  ADS  Google Scholar 

  • Takehisa K, Sato T, Ino T, Suzuki T, Kohyama T, Miyai H, Kusunose H (2016) Defect review capability enhancement for actinic inspection tool. 2016 EUVL symposium, 24–26 October, Hiroshima

    Google Scholar 

  • Urlich W, Rostalski H-J, Hudyma R (2004) Development of dioptric projection lenses for deep ultraviolet lithography at Carl Zeiss. J Microlithogr Microfabr Microsyst 3(1):87

    Google Scholar 

  • van den Brink M (2015) Cost effective shrink with holistic lithography extended by EUV. EUVL symposium, 5–7 Oct, Maastricht

    Google Scholar 

  • Van Look L, Mochi I, Philipsen V, Gallagher E, Hendrickx E, McIntyre G, Wittebroek F, Lyakhova K, de Winter L, Last T, Fliervoet T, Schiffelers G, Finders J, van Adrichem P, Lyons A, Laenens B, Liddle J, Neumann JT (2016) Mask 3D effect mitigation by source optimization and assist feature placement. In: International symposium on extreme ultraviolet lithography, 24–26 October, Hiroshima

    Google Scholar 

  • van Schoot JBP, Jasper JCM (2017) Fundamentals of EUV scanners. In: EUV lithography, 2nd edn. SPIE Press, Bellingham

    Google Scholar 

  • van Schoot J, van Setten E, Troost K, Bornebroek F, van Ballegoij R, Lok S, Krabbendam P, Stoeldraijer J, Benschop J, Finders J, Meiling H, Kuerz P, Kaiser W, Kneer B, Migura S, Neumann JT (2018) High-NA EUV lithography: exposure tool progress. In: Proceedings of SPIE 10583 “Extreme ultraviolet (EUV) lithography IX” 105830R

    Google Scholar 

  • van Schoot J, van Setten E, van Lare C, de Kruif R, Zimmerman J, Hsu S, Troost K, Finders J, Salmaso G, Stoeldraijer J, Benschop J, Pieters M, Zimmermann J, Graeupner P, Kuerz P, Kaiser W (2019a) High-NA EUV lithography exposure tool: program progress and mask interaction. In: Proceedings of SPIE 11178 “Photomask Japan 2019: XXVI symposium on photomask and next generation lithography mask technology”

    Google Scholar 

  • van Schoot J, van Setten E, Troost K, Bornebroek F, van Ballegoij R, Lok S, Steldraijer J, Benschop J, Finders J, Pieters M, Graeupner P, Zimmermann J, Kuerz P, Kaiser W (2019b) High-NA EUV lithography, exposure tool progress. In: Proceedings of SPIE 10957 “Extreme ultraviolet (EUV) lithography X” 1095707

    Google Scholar 

  • van Setten E, Mouraille O, Wittebrood F, Dusa M, van Ingen-Schenau K, Finders J, Feenstra K, Bekaert J, Laenens B, Philipsen V, Ercken M, Hendrickx E, Vandenberghe G (2010) 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning. In: International symposium on lithography extensions, 20–22 October, Kobe

    Google Scholar 

  • Vanelderen P, Blanco V, Mao M, Tomczak Y, de Roest D, Kissoon N, Delgadillo PR, Rispens G, Schffelers G, Pathak A, Lazzarino F, De Simne D, de Poortere E, Mc Manus M, Piumi D, Hendrickx E, Vanderghe G (2019) Impact of sequential infiltration synthesis (SIS) on roughness and stochastic nano-failures for EUV patterning. In: Proceedings of SPIE 10957 “Extreme ultraviolet (EUV) lithography X” 109570S

    Google Scholar 

  • Wallow T, Higgins C, Brainard R, Petrillo K, Montgomery W, Koay C-S, Denbeaux G, Wood O, Wei Y (2008) Evaluation of EUV resist materials for use at the 32 nm half-pitch node. In: Proceedings of SPIE 6921 “Emerging lithographic technologies XII” 69211F

    Google Scholar 

  • Williamson DM (2015) Frits Zernike and microlithography. In: Proceedings of SPIE 9626 “Optical design and engineering VI” 962606

    Google Scholar 

  • Wilson CG, Dammel RA, Reiser A (1997) Photoresist materials: a historical perspective. In: Proceedings of SPIE 3051 “Optical microlithography X” 28

    Google Scholar 

  • Yaegashi H (2014) Enabling advanced multi-patterning applications. In: 27th International microprocesses and nanotechnology conference, 14 November, Fukuoka

    Google Scholar 

  • Yamane T, Kamo T, Jonckheere R (2018) Printability estimation of EUV blank defect using actinic image. In: Proceedings of SPIE 10583 “Extreme ultraviolet (EUV) lithography IX” 105831F

    Google Scholar 

  • Zimmerman PA, Rice B, Piscani EC, Liberman V (2009) High index 193 nm immersion lithography: the beginning or the end of the road. In: Proceedings of SPIE 7274 “Optical microlithography XXII” 727420

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Akiyoshi Suzuki .

Editor information

Editors and Affiliations

Section Editor information

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this entry

Check for updates. Verify currency and authenticity via CrossMark

Cite this entry

Suzuki, A. (2020). Advances in Optics and Exposure Devices Employed in Excimer Laser/EUV Lithography. In: Sugioka, K. (eds) Handbook of Laser Micro- and Nano-Engineering. Springer, Cham. https://doi.org/10.1007/978-3-319-69537-2_7-1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-69537-2_7-1

  • Received:

  • Accepted:

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-69537-2

  • Online ISBN: 978-3-319-69537-2

  • eBook Packages: Springer Reference Physics and AstronomyReference Module Physical and Materials ScienceReference Module Chemistry, Materials and Physics

Publish with us

Policies and ethics