Skip to main content

Hardware-Assisted Transaction Processing

Many-core

  • Reference work entry
  • First Online:
  • 17 Accesses

Synonyms

OLTP on modern hardware; Scaling up OLTP on multicores and many cores; Transaction processing on modern and emerging hardware

Definitions

Online transaction processing (OLTP) is one of the most important and demanding database applications. A transaction is a unit of work that satisfies the ACID properties (Gray and Reuter 1992). Atomicity ensures that when a transaction ends, either all or none of its effects are visible to the other transactions. Consistency guarantees that the effect of a transaction transforms the database from one consistent state to another. Isolation property gives the illusion that transactions do not interfere with each other’s effects to the database even if they run concurrently. Durability assures that the effects of complete transactions must be persistent in the database. The maintenance of these properties in the face of many concurrent client requests is a big challenge and complicates the design of transaction processing systems. Multiple...

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   849.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   999.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    https://www.arm.com/products/processors/biglittleprocessing.php

  2. 2.

    https://www.altera.com/solutions/acceleration-hub/overview.html

  3. 3.

    https://www.ibm.com/support/knowledgecenter/en/POWER9/p9hdx/POWER9welcome.htm

References

  • Balkesen C, Kunal N, Giannikis G, Fender P, Sundara S, Schmidt F, Wen J, Agrawal S, Raghavan A, Varadarajan V, Viswanathan A, Chandrasekaran B, Idicula S, Agarwal N, Sedlar E (2018) A many-core architecture for in-memory data processing. In: SIGMOD

    Google Scholar 

  • Curino C, Jones E, Zhang Y, Madden S (2010) Schism: a workload-driven approach to database replication and partitioning. PVLDB 3:48–57

    Google Scholar 

  • David T, Guerraoui R, Trigonakis V (2013) Everything you always wanted to know about synchronization but were afraid to ask. In: SOSP, pp 33–48

    Google Scholar 

  • Dennard RH, Gaensslen FH, Yu HN, Rideout VL, Bassous E, Leblanc AR (1974) Design of ion-implanted MOSFETs with very small physical dimensions. IEEE J Solid-State Circuits 9:256–268

    Article  Google Scholar 

  • Diaconu C, Freedman C, Ismert E, Larson PA, Mittal P, Stonecipher R, Verma N, Zwilling M (2013) Hekaton: SQL server’s Memory-optimized OLTP engine. In: SIGMOD, pp 1243–1254

    Google Scholar 

  • Esmaeilzadeh H, Blem E, St Amant R, Sankaralingam K, Burger D (2011) Dark silicon and the end of multicore scaling. In: ISCA, pp 365–376

    Google Scholar 

  • Exadata (2015) Oracle corp.: exadata database machine. http://www.oracle.com/technetwork/database/exadata/overview/index.html

  • Gray J, Reuter A (1992) Transaction processing: concepts and techniques. Morgan Kaufmann Publishers Inc., San Francisco

    MATH  Google Scholar 

  • Hardavellas N, Ferdman M, Falsafi B, Ailamaki A (2011) Toward dark silicon in servers. IEEE Micro 31(4):6–15

    Article  Google Scholar 

  • Helland P (2007) Life beyond distributed transactions: an apostate’s opinion. In: CIDR, pp 132–141

    Google Scholar 

  • Johnson R, Pandis I (2013) The bionic DBMS is coming, but what will it look like? In: CIDR

    Google Scholar 

  • Johnson R, Pandis I, Stoica R, Athanassoulis M, Ailamaki A (2012) Scalability of write-ahead logging on multicore and multisocket hardware. VLDB J 21:239–263

    Article  Google Scholar 

  • Johnson R, Pandis I, Ailamaki A (2014) Eliminating unscalable communication in transaction processing. VLDB J 23(1):1–23

    Article  Google Scholar 

  • Jouppi NP, Young C, Patil N, Patterson D, Agrawal G, Bajwa R, Bates S, Bhatia S, Boden N, Borchers A, Boyle R, Cantin P, Chao C, Clark C, Coriell J, Daley M, Dau M, Dean J, Gelb B, Ghaemmaghami TV, Gottipati R, Gulland W, Hagmann R, Ho CR, Hogberg D, Hu J, Hundt R, Hurt D, Ibarz J, Jaffey A, Jaworski A, Kaplan A, Khaitan H, Killebrew D, Koch A, Kumar N, Lacy S, Laudon J, Law J, Le D, Leary C, Liu Z, Lucke K, Lundin A, MacKean G, Maggiore A, Mahony M, Miller K, Nagarajan R, Narayanaswami R, Ni R, Nix K, Norrie T, Omernick M, Penukonda N, Phelps A, Ross J, Ross M, Salek A, Samadiani E, Severn C, Sizikov G, Snelham M, Souter J, Steinberg D, Swing A, Tan M, Thorson G, Tian B, Toma H, Tuttle E, Vasudevan V, Walter R, Wang W, Wilcox E, Yoon DH (2017) In-datacenter performance analysis of a tensor processing unit. In: ISCA, pp 1–12

    Google Scholar 

  • Kemper A, Neumann T, Finis J, Funke F, Leis V, Mühe H, Mühlbauer T, Rödiger W (2013) Transaction processing in the hybrid OLTP&OLAP main-memory database system HyPer. IEEE DEBull 36(2):41–47

    Google Scholar 

  • Kongetira P, Aingaran K, Olukotun K (2005) Niagara: a 32-way multithreaded sparc processor. IEEE Micro 25(2):21–29

    Article  Google Scholar 

  • Lahiri T, Srihari V, Chan W, MacNaughton N, Chandrasekaran S (2001) Cache fusion: extending shared-disk clusters with shared caches. In: VLDB, pp 683–686

    Google Scholar 

  • Larson PA, Blanas S, Diaconu C, Freedman C, Patel JM, Zwilling M (2011) High-performance concurrency control mechanisms for main-memory databases. PVLDB 5(4):298–309

    Google Scholar 

  • Lee J, Kwon YS, Farber F, Muehle M, Lee C, Bensberg C, Lee JY, Lee A, Lehner W (2013) SAP HANA distributed in-memory database system: transaction, session, and metadata management. In: ICDE, pp 1165–1173

    Google Scholar 

  • Leis V, Kemper A, Neumann T (2014) Exploiting hardware transactional memory in main-memory databases. In: ICDE, pp 580–591

    Google Scholar 

  • Levandoski J, Lomet D, Sengupta S (2013) The Bw-tree: a B-tree for new hardware platforms. In: ICDE, pp 302–313

    Google Scholar 

  • Lomet D, Anderson R, Rengarajan TK, Spiro P (1992) How the Rdb/VMS data sharing system became fast. Technical Report CRL-92-4, DEC

    Google Scholar 

  • Malviya N, Weisberg A, Madden S, Stonebraker M (2014) Rethinking main memory OLTP recovery. In: ICDE, pp 604–615

    Google Scholar 

  • Mohan C, Haderle D, Lindsay B, Pirahesh H, Schwarz P (1992) ARIES: a transaction recovery method supporting fine-granularity locking and partial rollbacks using write-ahead logging. ACM TODS 17(1): 94–162

    Article  Google Scholar 

  • Moore G (1965) Cramming more components onto integrated circuits. Electronics 38(6):82–85

    Google Scholar 

  • Narula N, Cutler C, Kohler E, Morris R (2014) Phase reconciliation for contended in-memory transactions. In: OSDI, pp 511–524

    Google Scholar 

  • Olukotun K, Nayfeh BA, Hammond L, Wilson K, Chang K (1996) The case for a single-chip multiprocessor. In: ASPLOS, pp 2–11

    Google Scholar 

  • Pandis I, Johnson R, Hardavellas N, Ailamaki A (2010) Data-oriented transaction execution. PVLDB 3(1):928–939

    Google Scholar 

  • Pandis I, Tözün P, Johnson R, Ailamaki A (2011) PLP: page latch-free shared-everything OLTP. PVLDB 4(10):610–621

    Google Scholar 

  • Pavlo A, Jones EPC, Zdonik S (2011) On predictive modeling for optimizing transaction execution in parallel OLTP systems. PVLDB 5(2):85–96

    Google Scholar 

  • Pavlo A, Curino C, Zdonik S (2012) Skew-aware automatic database partitioning in shared-nothing, parallel OLTP systems. In: SIGMOD, pp 61–72

    Google Scholar 

  • Porobic D, Pandis I, Branco M, Tözün P, Ailamaki A (2012) OLTP on hardware Islands. PVLDB 5(11):1447–1458

    Google Scholar 

  • Porobic D, Liarou E, Tözün P, Ailamaki A (2014) ATraPos: adaptive transaction processing on hardware Islands. In: ICDE, pp 688–699

    Google Scholar 

  • Putnam A, Caulfield A, Chung E, Chiou D, Constantinides K, Demme J, Esmaeilzadeh H, Fowers J, Gopal GP, Gray J, Haselman M, Hauck S, Heil S, Hormati A, Kim JY, Lanka S, Larus J, Peterson E, Pope S, Smith A, Thong J, Xiao PY, Burger D (2014) A reconfigurable fabric for accelerating large-scale datacenter services. In: ISCA, pp 13–24

    Google Scholar 

  • Schall D, Härder T (2015) Dynamic physiological partitioning on a shared-nothing database cluster. In: ICDE, pp 1095–1106

    Google Scholar 

  • Serafini M, Mansour E, Aboulnaga A, Salem K, Taha R, Minhas UF (2014) Accordion: elastic scalability for database systems supporting distributed transactions. PVLDB 7(12):1035–1046

    Google Scholar 

  • Sewall J, Chhugani J, Kim C, Satish N, Dubey P (2011) PALM: parallel architecture-friendly latch-free modifications to B+Trees on many-core processors. PVLDB 4(11):795–806

    Google Scholar 

  • Stonebraker M, Madden S, Abadi DJ, Harizopoulos S, Hachem N, Helland P (2007) The end of an architectural era: (it’s time for a complete rewrite). In: VLDB, pp 1150–1160

    Google Scholar 

  • Tözün P, Pandis I, Johnson R, Ailamaki A (2013) Scalable and dynamically balanced shared-everything OLTP with physiological partitioning. VLDB J 22(2): 151–175

    Article  Google Scholar 

  • Tu S, Zheng W, Kohler E, Liskov B, Madden S (2013) Speedy transactions in multicore in-memory databases. In: SOSP, pp 18–32

    Google Scholar 

  • Wu L, Lottarini A, Paine TK, Kim MA, Ross KA (2014) Q100: the architecture and design of a database processing unit. In: ASPLOS, pp 255–268

    Google Scholar 

  • Yu X, Bezerra G, Pavlo A, Devadas S, Stonebraker M (2014) Staring into the abyss: an evaluation of concurrency control with one thousand cores. PVLDB 8(3):209–220

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pınar Tözün .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this entry

Check for updates. Verify currency and authenticity via CrossMark

Cite this entry

Tözün, P. (2019). Hardware-Assisted Transaction Processing. In: Sakr, S., Zomaya, A.Y. (eds) Encyclopedia of Big Data Technologies. Springer, Cham. https://doi.org/10.1007/978-3-319-77525-8_178

Download citation

Publish with us

Policies and ethics