Skip to main content

A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation (PATMOS 2011)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6951))

Abstract

Building efficient and correct system power management strategies relies on efficient power architecture decision-making as well as respecting structural dependencies induced by such architecture. Transaction Level Modeling allows a rapid exploration, verification and evaluation of alternative power management architectures and strategies. This paper introduces an efficient methodology for making system power decisions at Transaction-Level (TL) by adding and verifying power intent and management capabilities into TL-models. A generic framework that abstracts relevant concepts of the IEEE 1801 (UPF) standard and implements assertion-based contracts is used throughout the methodology. A TL-model example is considered to validate the methodology.

This work is supported by the French National Research Agency (ANR) project HELP bearing reference ANR-09-SEGI-006.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Keating, M., Flynn, D., Aitken, R., Gibbons, A., Shi, K.: Low Power Methodology Manual: for System-on-Chip Design (integrated circuits and systems). Springer, Heidelberg (2007)

    Google Scholar 

  2. Unified Power Format (UPF 2.0) Standard: IEEE standard for design and verification of low power integrated circuits. IEEE 1801TM (March 27, 2009)

    Google Scholar 

  3. Bembaron, F., Kakkar, S., Mukherjee, R., Srivastava, A.: Low Power Verification Methodology Using UPF. In: Proc. of Design & Verification Conference & Exhibition (DVCon), San Jose, CA, pp. 228–233 (2009)

    Google Scholar 

  4. Open SystemC initiative. SystemC Transaction Level Modeling Library 2.1.0 (2009), http://www.systemc.org

  5. Dhanwada, N., Lin, I.-C., Narayanan, V.: A Power Estimation Methodology for SystemC Transaction Level Models. In: 3rd IEEE/ACM/IFIP Conference on Hardaware/Software Codesign and System Synthesis, pp. 142–147 (2005)

    Google Scholar 

  6. Lee, I., Kim, H., Yang, P., Yoo, S., Chung, E.-Y., Choi, K.-M., Kong, J.-T., Eo, S.-K.: PowerViP: Soc Power Estimation Framework at Transaction Level. In: 11th Asia and South Pacific Design Automation Conference (ASP-DAC), Japan, pp. 551–558 (2006)

    Google Scholar 

  7. Ben Atitallah, R., Niar, S., Dekeyser, J.L.: MPSOC Power Estimation Framework at Transaction Level Modeling. In: 19th International Conference on Microelectronics (ICM), Egypt, pp. 245–248 (2007)

    Google Scholar 

  8. Lebreton, H., Vivet, P.: Power Modeling in SystemC at Transaction Level, Application to a DVFS Architecture. In: Proc. of the 2008 IEEE Computer Society Annual Symposium on VLSI, France, pp. 463–466 (2008)

    Google Scholar 

  9. Hazra, A.S., Mitra, A., Dasgupta, P., Pal, A., Bagchi, D., Guha, K.: Leveraging UPF-Extracted Assertions for Modeling and Formal Verification of Architectural Power Intent. In: 47th Design Automation Conference (DAC), Anaheim, CA, pp. 773–776 (2010)

    Google Scholar 

  10. Trummer, C., Kirchsteiger, C.M., Weiss, R., Dalton, D., Pistaur, M.: Simulation-based Verification of Power Aware System-on-Chip Designs Using UPF IEEE 1801. In: 27th NORCHIP Conference, Trondheim, Norway, pp. 1–4 (2009)

    Google Scholar 

  11. Meyer, B.: Applying “design by contract”. IEEE Computer 25, 40–51 (1992)

    Article  Google Scholar 

  12. Magic Blue Smoke blog, http://synopsysoc.org/magicbluesmoke/2008/05

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Mbarek, O., Pegatoquet, A., Auguin, M. (2011). A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts. In: Ayala, J.L., García-Cámara, B., Prieto, M., Ruggiero, M., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2011. Lecture Notes in Computer Science, vol 6951. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24154-3_23

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24154-3_23

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24153-6

  • Online ISBN: 978-3-642-24154-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics