Skip to main content
  • 600 Accesses

Abstract

This section gives an overview of various aspects of testing chips. It considers the modeling of errors, automatic test pattern generation, and how to design circuits that can easily been tested. Usually in ASIC design systems there are special tools for supporting the testing of chips. Examples are the programs Quick-Fault and Fast-Scan from Mentor Graphics, Verifault from Cadence and TestCompiler from Synopsys. Additionally, synthesis tools typically support Scan Path and Boundary Scan techniques (sections 15.5 and 15.7). Section 15.6 gives some more specialized test structures which may be implemented by the chip designer, or are already part of parameterized cell generators.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abramovici, M.; Breuer, M. A.; Friedman, A. D.: Digital Systems Testing and Testable Design. IEEE Press, 1990

    Google Scholar 

  2. Agarwal, V. K.: Easily Testable PLA Design. In VLSI Testing, T. W. Williams ( Editor ), North-Holland, 1986

    Google Scholar 

  3. Ballew, W. D.; Streb, L. M.: Board-Level Boundary Scan: Regaining Observability with an Additional IC. In IEEE Transactions on Computer-Aided Design, Vol. 11, No. 1, S. 68–75, 1992

    Google Scholar 

  4. Bolchini, C.; Salice, F.; Sciuto, D.: A Novel Methodology for Designing TSC Networks based on the Parity Bit Code. EDandTC’97,1997

    Google Scholar 

  5. Cirit, M. A.: Switch Level Random Pattern Testability Analysis. 25th ACM/IEEE Design Automation Conference, S. 587–590, 1988

    Google Scholar 

  6. Cockburn, B. F.; Brzozowski, J. A.: Switch-level testability of the dynamic CMOS PLA. IEEE Transactions on Computers, Vol. C-30, No. 1, 1981

    Google Scholar 

  7. David, R.: A Totally Self-Checking 1-Out-of-3 Checker. IEEE Transactions on Computers, Vol. C-27, No. 6, S. 570–572, 1978

    Article  Google Scholar 

  8. Dufza, C.; Viallon, H.; Chevalier, C.: BIST Hardware Generator for Mixed Test Scheme. EDandTC’95,1995

    Google Scholar 

  9. Dufza, C.; Zorian, Y.: On the Generation of Pseudo-Deterministic Two-Patterns Test Sequence with LFSRs. EDandTC’97,1997

    Google Scholar 

  10. Flottes, M. L.; Hammad, D.; Rouzeyre, B.: High-Level Synthesis for Easy Testability. EDandTC’95, 1995

    Google Scholar 

  11. Fujiwara, H.; Kinoshita, K.: A Design of programmable Logic Arrays with Universal Tests. IEEE Transactions on Computers, Vol. C-30, No. 1, 1981

    Google Scholar 

  12. Fummi, F.; Dciuto, D.; Serra, M.: Sequential Logic Minimization Based on Functional Testability. EDandTC’95,1995

    Google Scholar 

  13. Fujiwara, H.: Design and Analysis of Fault-Tolerant Digital Systems. The MIT Press, 1985

    Google Scholar 

  14. Gloster, C. S.; Brglez, F.: Boundary Scan with Built-in Self-Test. IEEE Design and Test of Computers, Februar 1989, S. 36–44,1989 (also in [15.31])

    Google Scholar 

  15. Gu, X.; Larsson, E.; Kuchinski, K.; Peng, Z.: A Controller Testability Analysis and Enhancement Technique. EDandTC’97, 1997

    Google Scholar 

  16. Huang, L.-R.; Kuo, S.-Y.; Chen, I.-Y.: A Gauss-Elimination Based PRPG for Combinational Circuits. EDandTC’95,1995

    Google Scholar 

  17. Johnson, B. W.: Design and Analysis of Fault-Tolerant Digital Systems. Addison-Wesley, 1989

    Google Scholar 

  18. Jha, N. K.: A Totally Self-Checking Checker for Borden’s Code. In IEEE Transactions on Computer-Aided Design, Vol. 8, No. 7, S. 731–736, 1989

    Google Scholar 

  19. Kodandapani, K. L.; Pradhan, D. K.: Undetectability of Bridging Faults and Validity of Stuck-At Fault Test Sets. IEEE Transactions on Computers, January 1980, S. 55–59, 1980 (also in [15.29])

    Google Scholar 

  20. Kagaris, D.; Tragoudas, S.: Cellular Automata for Generating Deterministic Test Sequences. EDandTC’97,1997

    Google Scholar 

  21. Lee, K.-J.; Breuer, M. A.: Constraints for Using IDDQ Testing to Detect CMOS Bridging Faults. IEEE VLSI Test Symposium, S. 303–308, 1991, (also in [15.29])

    Google Scholar 

  22. LaPaugh, A. S.; Lipton, R. J.: Total Stuck-at-Fault Testing by Circuit Transformation. 20th Design Automation Conference, 1983

    Google Scholar 

  23. Laquai, B.; Richter, H.; Werkmann, H.: A Production-oriented Measurement Method for Fast and Exhaustive Iddq Tests. EDandTC’97, 1997

    Google Scholar 

  24. Lin, X.; Pomeranz, I.; Reddy, S. M.: Full Scan Fault Coverage With Partial Scan. DATE’99, 1999

    Google Scholar 

  25. Mao, W. H.; Ciletti, M. D.: DYTEST: A Self-learning Algorithm Using Dynamic Testability Measures to Accelerate Test Generation. 25th ACM/IEEE Design Automation Conference, 1988

    Google Scholar 

  26. Marouf, M. A.; Friedman, A. D.: Efficient Design of Self-Checking Checker for any m-Out-of-n Code. IEEE Transactions on Computers, Vol. C-27, No. 6, S. 482–490, 1978

    Article  MathSciNet  Google Scholar 

  27. Marchok, T. E.; El-Maleh, A.; Maly, W.; Rajski, J.: Complexity of Sequential ATPG. EDandTC’95, 1995

    Google Scholar 

  28. Maly, W.; Patyra, M.: Built-in Current Testing. IEEE Journal of Solid-State Circuits, S. 425–428, 1992 (also in [15.29])

    Google Scholar 

  29. Malaiya, Y. K.; Rajsuman, R.: Bridging Faults and Iddq Testing. IEEE Computer Science Press, 1992

    Google Scholar 

  30. Muehdorf, E. I.; Savkar, A. D.: LSI Logic Testing–An Overview. IEEE Transactions on Computers, Vol. C-30, No. 1, 1981

    Google Scholar 

  31. Mander, C. M.; Tulloss, R. E.: The Test Access Port and Boundary-Scan Architecture. IEEE Computer Society Press Tutorial, 1989

    Google Scholar 

  32. Mucha, J.: Testprobleme bei höchstintegrierten Schaltungen. Springer Informatik-Fachberichte, IFB 89, S. 37–46, 1984

    Google Scholar 

  33. Rao, T. R. N.; Fujiwara, E.: Error-Control Coding for Computer Systems. Prentice Hall, 1989

    Google Scholar 

  34. Rajsuman, R.; Jayasumana, A. P.; Malaiya, T. K.: CMOS stuck-open fault detection using single test patterns. 26th ACM/IEEE Design Automation Conference, S. 714–717, 1989

    Google Scholar 

  35. Paschalis, A.; Gaitanis, N.; Giszopoulos, D.; Kostarakis, P.: A Totally Self-Checking 1-out-of-3 Code Error Indicator. EDandTC’97, 1997

    Google Scholar 

  36. Pomeranz, I.; Reedy, S. M.: On the use of Reset to Increase the Testability of Interconnected Finite-State Machines. EDandTC’97,1997

    Google Scholar 

  37. Rajsuman, R.: Iddq Testing for CMOS VLSI. Artech House, 1995

    Google Scholar 

  38. Reddy, S. M.: A Note on Self-Checking Checkers. IEEE Transactions on Computers, October 74, S. 110– 1102, 1974

    Google Scholar 

  39. Rius, J.; Figueras, J.: Exploring the Combination of IDDQ and IDDT-Testing: Energy-Testing. DATE’99,1999

    Google Scholar 

  40. Savir, J.: Good Controllability and Observability Do Not Guarantee Good Testability. IEEE Transactions on Computers, Vol. C-32, No. 12, S. 1198–1200, 1983

    Article  Google Scholar 

  41. Smith, J. E.; Metze, G.: Strongly Fault Secure Logic Networks. IEEE Transactions on Computers, Vol. C-27, No. 6, S. 491–499, 1978

    Article  MathSciNet  Google Scholar 

  42. StopjakovĂ¡, V.; Manhaeve, H.; Sidiropulos, M.: On-chip Transient Current Monitor for Testing of Low-Voltage CMOS IC. DATE’99,1999

    Google Scholar 

  43. Tang, J.-J.; Lee, K. J.; Liu, B.-D.: Built-in Intermediate Voltage Testing for CMOS Circuits. EDandTC’95, 1995

    Google Scholar 

  44. Tsui, F. F.: LSI/VLSI Testability Design. McGraw-Hill Book Company, 1986

    Google Scholar 

  45. Wurth, B.; Fuchs, K.: A BIST Approach to Delay fault Testing with Reduced Test Length. EDandTC’95, 1995

    Google Scholar 

  46. Wehn, N.; Glesner, M.; Caesar, K.; Mann, P.; Roth, A.: A Defect-Tolerant and Fully Testable PLA. 25th ACM/IEEE Design Automation Conference, 1988

    Google Scholar 

  47. Wunderlich, H.-J.; Herzog, M.; Figueras, J.; Carrasco, J. A.; CalderĂ³n, A.: Synthesis of Iddq Testable Circuits Integrating Built-in Current Sensors. IEEE Journal of Solid-State Circuits, S. 425–428, 1992

    Google Scholar 

  48. Wojtkowiak, H.: Test und Testbarkeit digitaler Schaltungen. B. G. Teub“-ner Verlag, 1988

    Google Scholar 

  49. Williams, T. W.: Design for Testability. VLSI Testing, T. W. Williams ( Editor ), North-Holland, 1986

    Google Scholar 

  50. Williams, T. W.; Parker, K. P.: Design for Testability–A Survey. IEEE Transactions on Computers, Vol. C-31, No. 1, 1982

    Google Scholar 

  51. Wunderlich, H.-J.: Probabilistische Verfahren fĂ¼r den Test hochintegrierter Schaltungen. Informatik-Fachberichte 140, Springer-Verlag, 1987

    Google Scholar 

  52. Wunderlich, H.-J.: Hochintegrierte Schaltungen: PrĂ¼fgerechter Entwurf und Test. Springer-Verlag, 1991

    Google Scholar 

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

RĂ¼lling, W. (2003). Design for Testability. In: Jansen, D. (eds) The Electronic Design Automation Handbook. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-73543-6_15

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-73543-6_15

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-5369-8

  • Online ISBN: 978-0-387-73543-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics