Skip to main content

A Novel Approach on Advancement of Blockchain Security Solution

  • Conference paper
  • First Online:

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 1040))

Abstract

Blockchain technology is an emerging technology that has the potential to transform the way of sharing data and transfer of value. Blockchain platforms are currently being used across the world in several government processes and business domains, for their unique characteristics. Even though blockchain shows potentials in its ability to provide a limitless number of inventive commercial trading, payments, government, healthcare, military and other critical applications, they face a security weakness on their recent prominent breaches of exchanges. Hence, there remain major security issues that are essential to be overcome before blockchain adopts the mainstream. And currently, this technology relies on hardware security modules (HSM) for the management and protection of their digital keys. The HSM generates key pairs, has secure storage and can off-load cryptographic operations from the entire system. But recently, FPGAs are preferred for hardware realization of algorithms considering its flexibility, low cost and long-term maintenance. It also has an advantage of reconfigurable or reprogrammable hardware design whenever new security or adaptation of an algorithm is required to support higher security levels. The paper presents a review of hardware security modules and proposed to enhance the scalability and reliability of the HSM by implementing it with the silicon-based secure module. Integrating PUF technology into the chip for storing and securing encryption or private keys, its security level can also be improved.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Mate, A.Y., Khaire, B.R.M.: A VLSI hardware architecture implemented of security system using encryption algorithm. Int. J. Sci. Eng. Res. 7(5) (May-2016)

    Google Scholar 

  2. Sakakibara, Y., Morishima, S., Nakamura K., Matsutani, H.: A hardware based caching system on FPGA NIC for blockchain. Inst. Electron., Inf. Commun. Eng. Trans. Inf. Syst. E101-D(5) (May 2018)

    Article  Google Scholar 

  3. Noor, N.Q.M., Ahmad, N.A., Sa‘at, N.I.M., Daud, S.M., Maroop N., Natasha, N.S.: Defense mechanisms against machine learning modeling attacks on strong physical unclonable functions for IoT authentication: a review. Int. J. Adv. Comput. Sci. Appl. 8(10), (2017)

    Google Scholar 

  4. Blockchains: the great chain of being sure about things. The Econ. (31 October 2015)

    Google Scholar 

  5. Haber, S., Scott Stornetta, W.: How to time-stamp a digital document. J. Cryptol. 3(2), 99–111 (1991)

    Google Scholar 

  6. Bayer, D., Haber, S., Scott Stornetta, W.: Improving the efficiency and reliability of digital time stamping. Sequences II, 329–334 (March 1992)

    Google Scholar 

  7. Tomshwom, Lessons from the Trezor Hack. Steemit, Aug 2017. Accessed Jan 2018. https://steemit.com/bitcoin/@tomshwom/lessonsfrom-the-trezor-hack

  8. Redman, J. A Def Con 25 Demonstration Claims to Break Bitcoin Hardware Wallets. Bitcoin.com, 27 Jun 2017. Accessed Jan 2018

    Google Scholar 

  9. Redman, J. Small Ethereum Clones Getting Attacked by Mysterious ’51 Crew. Bitcoin.com, 4 Sep 2016. Accessed Jan 2018

    Google Scholar 

  10. Calore, M. This ultra-secure PC self destructs if someone messes with it. Wired, 23 Jun 2017. Accessed Jan 2018

    Google Scholar 

  11. Nunez Mencias, A., et al.: An optimized blockchain solution for the IBM z14. IBM J. Res. Dev. 62(2/3) (2018), paper 4

    Article  Google Scholar 

  12. Pegu, R., Mudoi, R.: Design and analysis of Mux based physical unclonable functions. Int. J Eng. Res. Technol. 4(05) (May 2015)

    Google Scholar 

  13. Wang, Q., Gao, M., Qu, G.: A machine learning attack resistant dual-mode PUF. In: GLSVLSI”18, May, Chicago, IL, USA

    Google Scholar 

  14. Wen, Y., Lao, Y.: Enhancing PUF reliability by machine learning. In: IEEE 2017

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Lukram Dhanachandra Singh .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Singh, L.D., Meher, P. (2020). A Novel Approach on Advancement of Blockchain Security Solution. In: Mallick, P., Balas, V., Bhoi, A., Chae, GS. (eds) Cognitive Informatics and Soft Computing. Advances in Intelligent Systems and Computing, vol 1040. Springer, Singapore. https://doi.org/10.1007/978-981-15-1451-7_48

Download citation

Publish with us

Policies and ethics