Skip to main content

Current Status of Lithography

  • Chapter
  • First Online:
Laser Heat-Mode Lithography

Part of the book series: Springer Series in Materials Science ((SSMATERIALS,volume 291))

  • 540 Accesses

Abstract

Lithography is one of the critical processes used for the fabrication of microelectronic chips and micro/nanostructure-based electro-optical devices. The pattern structures are usually fabricated on the resist thin films and then transferred to the silicon or fused quartz substrates through the exposure and etching techniques.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Erdmann, T. Fühner, P. Evanschitzky, V. Agudelo, C. Freund, P. Michalak, D. Xu, Optical and EUV projection lithography: a computational view. Microelectron. Eng. 132, 21–34 (2015)

    Article  Google Scholar 

  2. L. J. Guo, Recent progress in nanoimprint technology and its applications. J. Phys. D 37(11), R123 (2004)

    Article  ADS  Google Scholar 

  3. Z. Cui, Nanofabrication, Principles, Capabilities and Limits, (Springer 2008)

    Google Scholar 

  4. M. Rothschild, Projection optical lithography. Mater. Today 8(2), 18–24 (2005)

    Article  Google Scholar 

  5. L. Li, X. Liu, S. Pal, S. Wang, C. K. Ober, E. P. Giannelis, Extreme ultraviolet resist materials for sub-7 nm patterning. Chem. Soc. Rev. 46(16), 4855–4866 (2017)

    Article  Google Scholar 

  6. E. M. Panning, K. A. Goldberg, A. Pirati, J. van Schoot, K. Troost, R. van Ballegoij, P. Krabbendam, J. Stoeldraijer, E. Loopstra, J. Benschop, J. Finders, H. Meiling, E. van Setten, N. Mika, J. Dredonx, U. Stamm, B. Kneer, B. Thuering, W. Kaiser, T. Heil, S. Migura, The future of EUV lithography: enabling Moore’s Law in the next decade. Proc. SPIE 10143, 101430G (2017)

    Article  Google Scholar 

  7. C. Wagner, N. Harned, EUV lithography: lithography gets extreme. Nat. Photonics 4(1), 24–26 (2010)

    Article  ADS  Google Scholar 

  8. R. Obert, I. I. Wood, EUVL-Challenges to manufacturing insertion. J. Photopolymer Sci. Technol. 30(5), 599–604 (2017)

    Article  Google Scholar 

  9. E. M. Panning, K. A. Goldberg, M. van de Kerkhof, H. Jasper, L. Levasier, R. Peeters, R. van Es, J. W. Bosker, A. Zdravkov, E. Lenderink, F. Evangelista, P. Broman, B. Bilski, T. Last, Enabling sub-10 nm node lithography: presenting the NXE: 3400B EUV scanner with improved overlay, imaging, and throughput. Proc. SPIE 10143, 101430D (2017)

    Google Scholar 

  10. J. Yunbum, C. Xing, Dual-layer thermal nanoimprint lithography without dry etching. J. Micromech. Microeng. 22(8), 085011 (2012)

    Article  Google Scholar 

  11. G. A. Ozin, K. Hou, B. V. Lotsch, L. Cademartiri, D. P. Puzzo, F. Scotognella, A. Ghadimi, J. Thomson, Nanofabrication by self-assembly. Mater. Today 12(5), 12–23 (2009)

    Article  Google Scholar 

  12. G. Zhang, C. Lan, H. Bian, R. Gao, J. Zhou, Flexible, all-dielectric metasurface fabricated via nanosphere lithography and its applications in sensing. Opt. Express 25(18), 22038 (2017)

    Article  ADS  Google Scholar 

  13. R. Menon, A. Patel, D. Gil, H. I. Smith, Maskless lithography. Mater. Today 8(2), 26–33 (2005)

    Article  Google Scholar 

  14. Y. Chen, Nanofabrication by electron beam lithography and its applications: a review. Microelectron. Eng. 135, 57–72 (2015)

    Article  Google Scholar 

  15. I. Haller, M. Hatzakis, R. Srinivasan, High-resolution positive resists for electron-beam exposure. IBM J. Res. Dev. 12(3), 251–256 (1968)

    Article  Google Scholar 

  16. Y. Kenji, N. Hideo, 5-nm-order electron-beam lithography for nanodevice fabrication. Jap. J. Appl. Phys. 43(6S), 3767 (2004)

    Google Scholar 

  17. L.W. Swanson, Liquid metal ion sources: mechanism and applications. Nucl. Instrum. Methods Phys. Res. 218(1), 347–353 (1983)

    Article  ADS  Google Scholar 

  18. A. Nadzeyka, L. Peto, S. Bauerdick, M. Mayer, K. Keskinbora, C. Grévent, M. Weigand, M. Hirscher, G. Schütz, Ion beam lithography for direct patterning of high accuracy large area X-ray elements in gold on membranes. Microelectron. Eng. 98, 198–201 (2012)

    Article  Google Scholar 

  19. R. Garcia, A.W. Knoll, E. Riedo, Advanced scanning probe lithography. Nature Nanotechnol. 9(8), 577–587 (2014)

    Article  ADS  Google Scholar 

  20. N. Mojarad, J. Gobrecht, Y. Ekinci, Interference lithography at EUV and soft X-ray wavelengths: principles, methods, and applications. Microelectron. Eng. 143, 55–63 (2015)

    Article  Google Scholar 

  21. T. A. Savas, S. N. Shah, M. L. Schattenburg, J. M. Carter, H. I. Smith, Large-area achromatic interferometric lithography for 100 nm period gratings and grids. J. Vacuum Sci. Technol. B 13(6), 2732–2735 (1995)

    Google Scholar 

  22. D. Dudley, W. M. Duncan, J. Slaughter, Emerging digital micromirror device (DMD) applications. Proc. SPIE 4985, 14–25 (2003)

    Article  ADS  Google Scholar 

  23. E. J. Hansotte, E. C. Carignan, W. D. Meisburger, High speed maskless lithography of printed circuit boards using digital micromirrors. Proc. SPIE. 7932, 793207 (2011)

    Article  Google Scholar 

  24. R. Menon, D. Gil, D. J. D. Carter, A. Patel, H. I. Smith, Zone-plate array lithography (ZPAL): a maskless fast-turnaround system for micro-optic device fabrication. Proc. SPIE 4984, 10–17 (2003)

    Article  ADS  Google Scholar 

  25. R. Wang, J. Wei, Y. Fan, Chalcogenide phase-change thin films used as grayscale photolithography materials. Opt. Express 22(5), 4973–4984 (2014)

    Article  ADS  Google Scholar 

  26. Z. Bai, J. Wei, X. Liang, K. Zhang, T. Wei, R. Wang, High-speed laser writing of arbitrary patterns in polar coordinate system. Rev. Sci. Instruments 87(12), 125118 (2016)

    Article  ADS  Google Scholar 

  27. N. Mojarad, J. Gobrecht, Y. Ekinci, Beyond EUV lithography: a comparative study of efficient photoresists’ performance. Sci. Rep. 5, 9235 (2015)

    Article  ADS  Google Scholar 

  28. J. K. Tyminski, J. A. Sakamoto, S. R. Palmer, S. P. Renwicka, Lithographic imaging-driven pattern edge placement errors at the 10-nm node. J. Micro/Nanolithaphy MEMS MOEMS 15(2), 021402 (2016)

    Article  ADS  Google Scholar 

  29. B. Mortini, Photosensitive resists for optical lithography. C R Phys. 7(8), 924–930 (2006)

    Article  ADS  Google Scholar 

  30. K. Takahiro, T. Seiichi, Radiation chemistry in chemically amplified resists. Jpn. J. Appl. Phys. 49(3R), 030001 (2010)

    Google Scholar 

  31. J. L. Dektar, N. P. Hacker, Photochemistry of triarylsulfonium salts. J. Am. Chem. Soc. 112(16), 6004–6015 (1990)

    Article  Google Scholar 

  32. T. Itani, T. Kozawa, Resist materials and processes for extreme ultraviolet lithography. Jpn. J. Appl. Phys. 52(1R), 010002 (2013)

    Article  ADS  Google Scholar 

  33. B. L. Henke, E. M. Gullikson, J. C. Davis, X-ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50–30,000 eV, Z = 1–92. Atom. Data Nucl. Data Tables 54(2), 181–342 (1993)

    Article  ADS  Google Scholar 

  34. K. Takahiro, T. Seiichi, S. Melissa, Theoretical study on relationship between acid generation efficiency and acid generator concentration in chemically amplified extreme ultraviolet resists. Jpn. J. Appl. Phys. 46(12L), L1143 (2007)

    Google Scholar 

  35. O. Hiroaki, T. Katsutomo, K. Kiminori, I. Toshiro, Development of new positive-tone molecular resists based on fullerene derivatives for extreme ultraviolet lithography. Jpn. J. Appl. Phys. 49(6S), 06GF04 (2010)

    Article  Google Scholar 

  36. R. D. Allen, P. J. Brock, Y. H. Na, M. H. Sherwood, H. D. Truong, G. M. Wallraff, M. Fujiwara, K. Maeda, Investigation of polymer-bound PAGs: synthesis, characterization and initial structure/property relationships of anion-bound resists. J. Photopolym. Sci. Technol. 22(1), 25–29 (2009)

    Article  Google Scholar 

  37. V. Lyubin, Chalcogenide glassy photoresists: history of development, properties, and applications. Phys. Status Solidi B 246(8), 1758–1767 (2009)

    Article  ADS  Google Scholar 

  38. A. Kovalskiy, Chalcogenide glass e-beam and photoresists for ultrathin grayscale patterning. J. Micro/Nanolithography MEMS MOEMS 8(4), 043012 (2009)

    Article  Google Scholar 

  39. A. Kovalskiy, M. Vlcek, H. Jain, A. Fiserova, C. M. Waits, M. Dubey, Development of chalcogenide glass photoresists for grayscale lithography. J. Non-Crystalline Solids 352, 589–594 (2006)

    Article  ADS  Google Scholar 

  40. V. A. Dan’ko, I. Z. Indutnyi, V. I. Min’ko, P. E. Shepelyavyi, Interference photolithography with the use of resists on the basis of chalcogenide glassy semiconductors. Optoelectron. Instrum. Data Process. 46(5), 483–490 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jingsong Wei .

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Wei, J. (2019). Current Status of Lithography. In: Laser Heat-Mode Lithography. Springer Series in Materials Science, vol 291. Springer, Singapore. https://doi.org/10.1007/978-981-15-0943-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-0943-8_1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-0942-1

  • Online ISBN: 978-981-15-0943-8

  • eBook Packages: Physics and AstronomyPhysics and Astronomy (R0)

Publish with us

Policies and ethics