Skip to main content

Optimized Programming for STT-MTJ-Based TCAM for Low-Energy Approximate Computing

  • Chapter
  • First Online:
Applications of Emerging Memory Technology

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 63))

Abstract

In the advent of data-driven systems and processes, high speed and energy-efficient computing techniques are highly desirable. Such systems and techniques are already being employed in many applications, which mainly depends on a huge amount of data like information analysis, transmission, policy, decision-making, etc. An electronic system used in these applications, require to perform the operations like data capture, storage, visualization, and -analysis. Most of such systems employ content addressable memories (CAMs), also known as associative memories for high-speed data search/compare and compute operation. In this chapter, an optimized programming scheme for magnetic tunnel junction (MTJ) based resistive ternary content addressable memory (ReTCAM) for approximate computing (AC) application is presented. Basic key concepts related to MTJ structure, physics, electrical behavior, bit-cell design, and AC are also discussed. Error-tolerant behavior of AC and stochastic writing of ReTCAM cell are exploited to achieve low write energy. Case study of 3-bit (LSB) write operation using the proposed programming scheme is also investigated based on distance match accuracy. ReTCAM bit-cell is designed using perpendicular magnetic anisotropic (PMA) MTJ device with 32 nm diameter and 90 nm CMOS technology.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J. Manyika, M. Chui, B. Brown, J. Bughin, R. Dobbs, C. Roxburgh, A.H. Byers, Big Data: the next frontier for innovation, competition, and productivity (2011). Available via DIALOG. https://www.mckinsey.com/business-functions/digital-mckinsey/our-insights/big-data-the-next-frontier-for-innovation

  2. C.P. Chen, C.Y. Zhang, Data-intensive applications, challenges, techniques and technologies: a survey on Big Data. Inf. Sci. 275, 314–347 (2014)

    Article  Google Scholar 

  3. C. Perera, A. Zaslavsky, P. Christen, D. Georgakopoulos, Context aware computing for the internet of things: a survey. IEEE Commun. Surv. Tutor. 16(1), 414–454 (2014)

    Article  Google Scholar 

  4. J. Potter, J. Baker, S. Scott, A. Bansal, C. Leangsuksun, C. Asthagiri, ASC: an associative-computing paradigm. IEEE Comput. 27(11), 19–25 (1994)

    Article  Google Scholar 

  5. K. Pagiamtzis, A. Sheikholeslami, Content-addressable memory (CAM) circuits and architectures: a tutorial and survey. IEEE J. Solid-State Circuits 41(3), 712–727 (2006)

    Article  Google Scholar 

  6. Q. Guo, X. Guo, Y. Bai, R. Patel, E. Ipek, E.G. Friedman, Resistive ternary content addressable memory systems for data-intensive computing. IEEE Micro. 35(5), 62–71 (September–October 2015)

    Google Scholar 

  7. R. Karam, R. Puri, S. Ghosh, S. Bhunia, Emerging trends in design and applications of memory-based computing and content-addressable memories. Proc. IEEE 103(8), 1311–1330 (2015)

    Article  Google Scholar 

  8. A. Bremler-Barr, Y. Harchol, D. Hay, Y. Hel-Or, Ultra-fast similarity search using ternary content addressable memory, in 11th International Workshop on Data Management on New Hardware (ACM, 2015)

    Google Scholar 

  9. F. Yu, R.H. Katz, T.V. Lakshman, Gigabit rate packet pattern matching using TCAM, in 12th IEEE International Conference on Network Protocols (2004), pp. 174–183

    Google Scholar 

  10. F. Yu, T.V. Lakshman, M.A. Motoyama, R.H. Katz, SSA: a power and memory efficient scheme to multi-match packet classification, in ACM Symposium on Architecture for Networking and Communications Systems (ACM, 2005), pp. 105–113

    Google Scholar 

  11. M. Imani, Y. Kim, A. Rahimi, T. Rosing, ACAM: Approximate computing based on adaptive associative memory with online learning, in International Symposium on Low Power Electronics and Design (ISLPED) (August 2016), pp. 162–167

    Google Scholar 

  12. A. Kumar, M. Suri, V. Parmar, N. Locatelli, D. Querlioz, An energy-efficient hybrid (CMOS-MTJ) TCAM using stochastic writes for approximate computing, in Non-Volatile Memory Technology Symposium (NVMTS) (2016), pp. 1–5

    Google Scholar 

  13. W.S. Zhao, Y. Zhang, T. Devolder, J.O. Klein, D. Ravelosona, C. Chappert, P. Mazoyer, Failure and Reliability Analysis of STT-MRAM Microelectronics Reliability (Elsevier, 2012)

    Google Scholar 

  14. A. Vincent, N. Locatelli, J.-O. Klein, W. Zhao, S. Galdin-Retailleau, D. Querlioz, Analytical macrospin modeling of the stochastic switching time of spin-transfer torque devices. IEEE Trans. Electron Devices 62(1), 164–170 (2015)

    Article  Google Scholar 

  15. E. Spitznagel, D. Taylor, J. Turner, Packet classification using extended TCAMs, in Proceedings of 11th IEEE International Conference on Network Protocols, 2003, Atlanta, GA, USA (2003), pp. 120–131

    Google Scholar 

  16. P. Gupta, N. McKeown, Algorithms for packet classification. IEEE Netw. 15(2), 24–32 (March–April 2001)

    Google Scholar 

  17. I. Arsovski, T. Chandler, A. Sheikholeslami, A ternary content addressable memory (TCAM) based on 4T static storage and including a current-race sensing scheme. IEEE J. Solid-State Circuits 38(1), 155–158 (2003)

    Article  Google Scholar 

  18. H. Noda et al., A cost-efficient high-performance dynamic TCAM with pipelined hierarchical searching and shift redundancy architecture. IEEE J. Solid-State Circuits 40(1), 245–253 (2005)

    Article  Google Scholar 

  19. K. Kim, G. Jeong, Memory technologies for sub-40nm Node, in IEEE International Electron Devices Meeting (2007), pp. 27–30

    Google Scholar 

  20. K. Lakshminarayanan, A. Rangarajan S. Venkatachary, Algorithms for advanced packet classification with ternary CAMs, in SIGCOMM Computer Communication Review (2005), pp. 193–204

    Google Scholar 

  21. W. Xu, T. Zhang, Y. Chen, Design of spin-torque transfer magnetoresistive RAM and CAM/TCAM with high sensing and search speed. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18(1), 66–74 (2010)

    Google Scholar 

  22. N. Onizawa, S. Matsunaga, T. Hanyu, A compact soft-error tolerant asynchronous TCAM based on a transistor/magnetic-tunnel-junction hybrid dual-rail word structure, in IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC) (2014), pp. 1–8

    Google Scholar 

  23. S. Matsunaga, A. Katsumata, M. Natsui, S. Fukami, T. Endoh, H. Ohno, T. Hanyu, Fully parallel 6T-2MTJ nonvolatile TCAM with single transistor-based self match-line discharge control, in Symposium on VLSI Circuits Digest of Technical Papers (2011)

    Google Scholar 

  24. S. Matsunaga, S. Miura, H. Honjou, K. Kinoshita, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu, A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture, in Symposium on VLSI Circuits (VLSIC) (2012), pp. 44–45

    Google Scholar 

  25. H. Meng, J.-P. Wang, Spin transfer in nanomagnetic devices with perpendicular anisotropy. Appl. Phys. Lett. 88, 172506 (2006)

    Google Scholar 

  26. S. Ikeda et al., A perpendicular-anisotropy CoFeBMgO magnetic tunnel junction. Nat. Mater. 9(9), 721–724 (2010)

    Article  Google Scholar 

  27. W. Zhao, C. Chappert, V. Javerliac, J.P. Noziere, High speed, high stability and low power sensing amplifier for MTJ/CMOS hybrid logic circuits. IEEE Trans. Magn. 45(10), 3784–3787 (2009)

    Article  Google Scholar 

  28. A. Kumar, S. Sahay, M. Suri, Switching-time dependent PUF using STT-MRAM, in 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID) (2018), pp. 434–438

    Google Scholar 

  29. J. Han, M. Orshansky, Approximate computing: an emerging paradigm for energy-efficient design, in 18th IEEE European Test Symposium (ETS) (2013), pp. 1–6

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Manan Suri .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kumar, A., Suri, M. (2020). Optimized Programming for STT-MTJ-Based TCAM for Low-Energy Approximate Computing. In: Suri, M. (eds) Applications of Emerging Memory Technology. Springer Series in Advanced Microelectronics, vol 63. Springer, Singapore. https://doi.org/10.1007/978-981-13-8379-3_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-8379-3_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-8378-6

  • Online ISBN: 978-981-13-8379-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics