Skip to main content

Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix

  • Conference paper
  • First Online:
10th International Conference on Robotics, Vision, Signal Processing and Power Applications

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 547))

  • 717 Accesses

Abstract

Physical design convergence becomes complicated as the number of gates is increasing with decreasing size of the transistors. Multi-corner multi-mode timing convergence is introduced to compensate for the manufacturing variation, raising the number of signoff scenarios for static timing analysis. As a result, timing violations in all scenarios need to be closed and the timing convergence process is prolonged due to the “Ping Pong Effect”. The place and route implementation tool might not have the visibility to all violations in all scenarios since not all scenarios are taken into optimization process. Some new violations might be induced due to the fixing of the other violations. Therefore, it is important to improve the visibility of the implementation tool in the actual worst slack or worst margin of all timing paths. In this paper, a methodology is proposed to create the worst-case scenario in timing slack and used in optimization process. The worst-case scenario is successfully modeled with generated worst timing margin across all the timing paths in all sign-off scenarios. With this strategy, the timing results for hold violations in terms of the worst number of slack, total number of slack and total violated path has improved by 53.2%, 95.5% and 75.9%, respectively. No significant result is recorded for setup violations. Nonetheless, it is seen as a promising approach to minimize the timing closure process.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Aditi, S., Deb, S.: Smart and efficient multi-scenario SoC timing closure and ECO generator. Master Dissertation, Department of Electronics and Communication, Indraprastha Institute of Information Technology, India, Delhi (2015)

    Google Scholar 

  2. Oh, D.K., Jin, M.W., Kim, J.H.: Extracting the K-most critical paths in multi-corner multi-mode for fast static timing analysis. J. Semicond. Technol. Sci. 16(6), 771–780 (2016)

    Article  Google Scholar 

  3. Han, K., Kahng, A.B., Lee, J., Li, J., Nath, S.: A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction. In: 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, California, pp. 1–6 (2015)

    Google Scholar 

  4. Shanthala, L., Jayagowri, R.: Efficient timing closure in SOC through timing quality checks and engineering change order. Int. J. Adv. Res. Ideas Innov. Technol. 3(3), 699–705 (2017)

    Google Scholar 

  5. Chang, H.Y., Jiang, I.H.R., Chang, Y.W.: Timing ECO optimization via Bézier curve smoothing and fixability identification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(12), 1857–1866 (2012)

    Article  Google Scholar 

  6. Parnami, M., Sachdeva, S.: Integrated circuit design synthesis using slack diagrams. U.S. Patent 9,330,216 (2016)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to N. Z. I. Hashim .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Lee, J.S.K., Packeer Mohamed, M.F., Md. Zawawi, M.A., Hashim, N.Z.I. (2019). Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix. In: Zawawi, M., Teoh, S., Abdullah, N., Mohd Sazali, M. (eds) 10th International Conference on Robotics, Vision, Signal Processing and Power Applications. Lecture Notes in Electrical Engineering, vol 547. Springer, Singapore. https://doi.org/10.1007/978-981-13-6447-1_25

Download citation

Publish with us

Policies and ethics