Skip to main content

Examples of Reconfigurable Cryptographic Processor Design

  • Chapter
  • First Online:
Reconfigurable Cryptographic Processor

Abstract

This chapter describes a reconfigurable cryptographic processor designed by the reconfigurable computing research team at the Institute of Microelectronics, Tsinghua University, and the processor is named Anole. Anole is designed for various symmetric cryptographic algorithms and hash algorithms, and its core structure includes a dynamically and partially reconfigurable processing array and the interconnection between processing elements for the function enhancement. The design optimization goal is to improve the energy and area efficiencies while maintaining flexibility. Three key technologies have been proposed including distributed control network (DCN), concurrent computation and reconfiguration (CCR), and configuration compression and organization (CCO). The basic architecture, key technologies, integrated development tools and chip implementation results of Anole are presented in detail as follows:

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Wallner S (2003) A reconfigurable multi-threaded architecture model. In: Advances in computer systems architecture, Asia-Pacific conference, pp 193–207

    Chapter  Google Scholar 

  2. Zaykov PG, Kuzmanov G, Gaydadjiev G (2009) Reconfigurable multithreading architectures: a survey. In: International conference workshop on embedded computer systems: architectures, modeling and simulation, pp 263–274

    Google Scholar 

  3. Watkins MA, Albonesi DH (2010) Dynamically managed multithreaded reconfigurable architectures for chip multiprocessors. In: International conference on parallel architectures and compilation techniques, pp 41–52

    Google Scholar 

  4. Zaykov PG, Kuzmanov G (2011) Architectural support for multithreading on reconfigurable hardware. In: International symposium on applied reconfigurable computing, pp 363–374

    Google Scholar 

  5. Garcia AP, Berekovic M, Aa TV (2008) Mapping of the AES cryptographic algorithm on a coarse-grain reconfigurable array processor. In: International conference on application-specific systems, architectures and processors, pp 245–250

    Google Scholar 

  6. Good T, Benaissa M (2005) AES on FPGA from the fastest to the smallest. In: International workshop on cryptographic hardware and embedded systems, pp 427–440

    Chapter  Google Scholar 

  7. Liu B, Baas BM (2013) Parallel AES encryption engines for many-core processor arrays. IEEE Trans Comput 62(3):536–547

    Article  MathSciNet  Google Scholar 

  8. Najafi B, Sadeghian B, Zamani MS et al (2004) High speed implementation of serpent algorithm. In: IEEE international conference on microelectronics, pp 718–721

    Google Scholar 

  9. Nazlee AM, Hussin FA, Ali NBZ (2009) Serpent encryption algorithm implementation on compute unified device architecture (CUDA). In: IEEE student conference on research and development (SCOReD), pp 164–167

    Google Scholar 

  10. Hauser JR (2000) Augmenting a microprocessor with reconfigurable hardware. University of California, Berkeley, California

    Google Scholar 

  11. Mcloone M, Mccanny JV (2003) High-performance FPGA implementation of DES using a novel method for implementing the key schedule. IEE Proc Circuits Dev Syst 150(5):373–378

    Article  Google Scholar 

  12. Zhou Y, Li Y (2014) The design and implementation of a symmetric encryption algorithm based on DES. In: IEEE international conference on software engineering and service science, pp 517–520

    Google Scholar 

  13. Shan W, Shi L, Fu X, et al (2014) A side-channel analysis resistant reconfigurable cryptographic coprocessor supporting multiple block cipher algorithms. In: Design automation conference, pp 1–6

    Google Scholar 

  14. Granado JM, Vega MA, Sanchez JM et al (2006) Implementing the IDEA cryptographic algorithm in Virtex-E and Virtex-II FPGAs. In: IEEE Mediterranean electrotechnical conference. IEEE, pp 109–112

    Google Scholar 

  15. Sayilar G, Chiou D (2014) Cryptoraptor: high throughput reconfigurable cryptographic processor. In: International conference on computer aided design, pp 155–161

    Google Scholar 

  16. Chen D, Shou G, Hu Y et al (2010) Efficient architecture and implementations of AES. In: International conference on advanced computer theory and engineering, pp V6–V295

    Google Scholar 

  17. Wang B, Liu L (2015) A flexible and energy-efficient reconfigurable architecture for symmetric cipher processing. In: International symposium on circuits and systems, pp 1182–1185

    Google Scholar 

  18. Zhang L, Xia L, Liu Z et al (2012) Evaluating the optimized implementations of Snow3G and ZUC on FPGA. In: IEEE international conference on trust, security and privacy in computing and communications, pp 436–442

    Google Scholar 

  19. Jairaj V, Pohjonen J, Shemyak K (2011) High performance implementation of Snow3G algorithm in memory limited environments. In: International conference on new technologies, mobility and security, pp 1–4

    Google Scholar 

  20. Li C, Zhou Q, Liu Y et al (2011) Cost-efficient data cryptographic engine based on FPGA. In: IEEE international conference on Ubi-media computing, pp 48–52

    Google Scholar 

  21. Jarvinen K, Tommiska M, Skytta J (2005) Hardware implementation analysis of the MD5 hash algorithm. In: IEEE international conference on system sciences, p 298a

    Google Scholar 

  22. Wang F, Yang C, Wu Q et al (2012) Constant memory optimizations in MD5 crypt cracking algorithm on GPU-accelerated supercomputer using CUDA. In: International conference on computer science and education, pp 638–642

    Google Scholar 

  23. Damaj I, Itani M, Diab H (2006) Serpent cryptography on static and dynamic reconfigurable hardware. In: IEEE international conference on computer systems and applications, pp 680–684

    Google Scholar 

  24. Singh H, Lee M, Lu G et al (2000) MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications. IEEE Trans Comput 49(5):465–481

    Article  Google Scholar 

  25. Mancillaslopez C, Chakraborty D, Henriquez FR (2010) Reconfigurable hardware implementations of tweak able enciphering schemes. IEEE Trans Comput 59(11):1547–1561

    Article  MathSciNet  Google Scholar 

  26. Wang M, Su C, Horng C et al (2010) Single- and multi-core configurable AES architectures for flexible security. IEEE Trans Very Large Scale Integr Syst 18(4):541–552

    Article  Google Scholar 

  27. Wang Y, Ha Y (2013) FPGA-based 40.9-Gbits/s masked AES with area optimization for storage area network. IEEE Trans Circuits Syst II Express Briefs 60(1):36–40

    Article  Google Scholar 

  28. Good T, Benaissa M (2007) Pipelined AES on FPGA with support for feedback modes (in a multi-channel environment). IET Inf Secur 1(1):1–10

    Article  Google Scholar 

  29. Nishikawa N, Iwai K, Kurokawa T (2012) High-performance symmetric block ciphers on multicore CPU and GPUs. Int J Netw Comput 2(2):251–268

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Leibo Liu .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd. and Science Press, Beijing

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Liu, L., Wang, B., Wei, S. (2018). Examples of Reconfigurable Cryptographic Processor Design. In: Reconfigurable Cryptographic Processor. Springer, Singapore. https://doi.org/10.1007/978-981-10-8899-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-8899-5_5

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-8898-8

  • Online ISBN: 978-981-10-8899-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics