Skip to main content

A High Throughput Power-Efficient Optical Memory Subsystem for Kilo-Core Processor

  • Conference paper
  • First Online:
Computer Engineering and Technology (NCCET 2017)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 600))

Included in the following conference series:

Abstract

High throughput and power-efficient processor-memory communications are of great importance for kilo-core processor design. This paper proposes a hybrid photonic architecture for such communications. Bandwidth-efficient photonic burst switching is used for memory accesses between last-level HBM caches and off-chip HMC memory pools. Simulation results show that the hybrid network achieves up to 25% of system speedup and up to 10 times of energy savings, when compared to conventional electric interconnects.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agerwala, T.: Exascale computing: the challenges and opportunities in the next decade. In: 16th Proceedings of the IEEE Symposium on HPCA, p. 1 (2010)

    Google Scholar 

  2. TILEGx Homepage. http://www.tilera.com/products/TILE-Gx.php. Accessed 29 Dec 2011

  3. Zhang, C.: Mars: a 64-core ARMv8 processor. In: 27th Hot Chips (2015)

    Google Scholar 

  4. Borkar, S.: Thousand core chips: a technology perspective. In: Proceedings of the 44th ACM/IEEE Design Automation Conference, pp. 746–749 (2007)

    Google Scholar 

  5. Sanchez, D., et al.: An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Trans. Archit. Code Optim. 7(1), 4 (2010)

    Article  Google Scholar 

  6. JEDEC Homepage. https://www.jedec.org/. Accessed 21 June 2017

  7. HMC Homepage. http://www.hybridmemorycude.org/. Accessed 21 June 2017

  8. Qiao, C.M., Yoo, M.S.: Optical burst switching (OBS) - a new paradigm for an optical internet. J. High Speed Netw. 8(1), 69–84 (1999)

    Google Scholar 

  9. Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)

    Article  MathSciNet  Google Scholar 

  10. Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of the 35th International Symposium on Computer Architecture, pp. 153–164 (2008)

    Google Scholar 

  11. Hendry, G., et al.: Circuit-switched memory access in photonic interconnection networks for high-performance embedded computing. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 1–12 (2010)

    Google Scholar 

  12. Koyanagi, M., et al.: Three-dimensional integration technology and integrated systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, pp. 409–415 (2009)

    Google Scholar 

  13. Poon, A.W., et al.: Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip. In: Proceedings of the SPIE International Society for Optical Engineering (2008)

    Google Scholar 

  14. Barwicz, T., et al.: Silicon photonics for compact, energy-efficient interconnects. J. Opt. Netw. 6(1), 63–73 (2007)

    Article  Google Scholar 

  15. Rosenfeld, P.: Performance evaluation of the hybrid memory cube. Dissertation of the University of Maryland (2014)

    Google Scholar 

  16. Chan, J., et al.: Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings on Design, Automation and Test in Europe (2010)

    Google Scholar 

  17. Kahng, A.B., et al.: Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings on Design, Automation and Test in Europe (2009)

    Google Scholar 

  18. Miller, J.E., et al.: Graphite: a distributed parallel simulator for multicores. In: 16th IEEE Symposium on High-Performance Computer Architecture, January 2010

    Google Scholar 

Download references

Acknowledgement

This research is supported by the grants from National Natural Science Foundation of China (Grant No. 61402502). Also, NSF 61402497 and 61472432 of China support our research.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Quanyou Feng .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Feng, Q., Peng, C., Ren, S., Zhou, H., Deng, R. (2018). A High Throughput Power-Efficient Optical Memory Subsystem for Kilo-Core Processor. In: Xu, W., Xiao, L., Li, J., Zhang, C., Zhu, Z. (eds) Computer Engineering and Technology. NCCET 2017. Communications in Computer and Information Science, vol 600. Springer, Singapore. https://doi.org/10.1007/978-981-10-7844-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-7844-6_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-7843-9

  • Online ISBN: 978-981-10-7844-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics