Skip to main content

Restricted Turn Model Fault Tolerant Routing Techniques for 3D Mesh Network-on-Chip: An Evaluation

  • Conference paper
  • First Online:
  • 852 Accesses

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 625))

Abstract

Communication plays a crucial role in design and performance of multi-core system-on-chips (SoCs). Recent development in nanoscale has opened an alternative option to conventional on-chip communication network with uniform stackable multi-chip modules in three dimensions. As the feature size continues to shrink, transient failures or permanent physical damages of on-chip network links are becoming a critical issue. To overcome these failures, network-on-chip (NoC) routing scheme can be enhanced by adding fault tolerant capabilities. In this paper, we analyze the performance of restricted turn model-based routing for the 3D mesh NoC, namely partially adaptive fault tolerant odd even (FTOE3D) routing, fault tolerant negative first (FTNF3D) routing, and fault tolerant XYZ (FTXYZ) routing. As compared to other two routing algorithms, FTOE3D gives the promising results. This document is in the required format.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. L. Benini and G. De Micheli, “Networks on Chips: A New SoC Paradigm,” Computer, pages 70–78, Jan. 2002.

    Google Scholar 

  2. W.J. Dally and B. Towles, “Route Packets, Not Wires: On-Chip Interconnection Networks,” Proc. 38th Design Automation Conf. (DAC, ‘01), pages 683–689, June 2001.

    Google Scholar 

  3. V.F. Pavlidis and E.G. Friedman, “3-D Topologies for Networks-on-Chip,” IEEE Trans. Very Large Scale Integration (VLSI, ‘07), pages 1081–1090, Oct. 2007.

    Google Scholar 

  4. B. S. Feero and P. P. Pande, “Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation,” IEEE Transactions on Computers, pages 32–45, 2009.

    Google Scholar 

  5. Ebrahimi, Masoumeh, Ronak Salamat, Nader Bagherzadeh, and Masoud Daneshtalab. “A Fault Resilient Routing Algorithm for Sparsely Connected 3D NoCs.” 4th MEDIAN Workshop, 2015.

    Google Scholar 

  6. A. Kologeski, C. Concatto, D. Matos, D. Grehs, T. Motta, F. Almeida, F. Lima Kastensmidt, A. Susin, R. Reis. “Combining fault tolerance and serialization effort to improve yield in 3D Networks-on-Chip,” IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS), pages 125–128, 8–11 Dec. 2013.

    Google Scholar 

  7. A. Eghbal, P. M. Yaghini, N. Bagherzadeh, M. Khayambashi. “TSV Analytical Fault Tolerance Assessment for 3D Network-on-Chip.” IEEE Transactions on Computers, vol. Page 99, 06 February 2015.

    Google Scholar 

  8. M. Zhu, J. Lee and K. Choi, “An Adaptive Routing Algorithm for 3D Mesh NOC with Limited Vertical Bandwidth, “International Conference on VLSI and System-on-Chip, pages 18–23, 2012.

    Google Scholar 

  9. M. Ebrahimi, M. Daneshtalab, P. Liljeberg and H. Tenhunen, “Fault-Tolerant Method with Distributed Monitoring and Management Technique for 3D Stacked Meshes,” International Symposium on Computer Architecture and Digital Systems, Pages. 93–98, 2013.

    Google Scholar 

  10. J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. S. Yousif, and C. R. Das, “A novel dimensionally-decomposed router for on-chip communication in 3D architectures,” In Proceedings of the International Symposium on Computer Architecture, pages 138–149, 2007.

    Google Scholar 

  11. G. M. Chiu, “The odd-even turn model for adaptive routing”, IEEE Transaction on Parallel and Distributed Systems, 11:729–738, 2000.

    Google Scholar 

  12. N. Dahir, T. Mak, R. Al-Dujaily, A. Yakovlev, “Highly adaptive and deadlock-free routing for three-dimensional network-on-chip,” In Computers and Digital Techniques, IET, vol. 7, no. 6, pages 255–263, November 2013.

    Google Scholar 

  13. Christopher J. Glass, and Lionel M. Ni. “The turn model for adaptive routing. In ACM SIGARCH Computer Architecture News, 20(2):278–287, 1992.

    Google Scholar 

  14. Jain L., Al-Hashimi B., M.S. Gaur, Laxmi V., Ahmed M., and Narayanan A. Nirgam: A simulator for noc interconnect routing and application modeling. In DATE, pages 44–47, 2007.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ravindra Kumar Saini .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd.

About this paper

Cite this paper

Saini, R.K., Ahmed, M. (2018). Restricted Turn Model Fault Tolerant Routing Techniques for 3D Mesh Network-on-Chip: An Evaluation. In: Mishra, D., Azar, A., Joshi, A. (eds) Information and Communication Technology . Advances in Intelligent Systems and Computing, vol 625. Springer, Singapore. https://doi.org/10.1007/978-981-10-5508-9_10

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-5508-9_10

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-5507-2

  • Online ISBN: 978-981-10-5508-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics