Skip to main content

High Performance Fully Adaptive Runtime Thermal-Aware Routing Algorithm for 3D NoC

  • Conference paper
  • First Online:
Transactions on Engineering Technologies (IMECS 2016)

Included in the following conference series:

  • 268 Accesses

Abstract

Thermal problem is an essential issue which must be taken into account in the 3D Network-on-Chip (NoC) design, because it has a great impact on not only the network performance, but also the reliability of the message transmission. Runtime thermal management (RTM) is widely used to control the temperature by throttling some overheated routers in the network. In this work, we present a fully adaptive runtime thermal-aware routing algorithm for RTM, which combines the distance, traffic state, path diversity and the thermal impact in the path determination. By simultaneously considering all these factors, the routing algorithm can effectively balance the traffic load while keeping high adaptivity and routability, which also results in an even distribution of temperature across the network. To get the throttling information, instead of collecting the topology of the whole network, we utilize a 12 bits register to reserve the router state for one hop away, which saves the hardware cost largely and decreases the network latency. The simulation results show our proposed routing algorithm can improve the latency and energy consumption by comparing with other previously proposed thermal-aware routing schemes, and the improvement is more remarkable in large scale networks.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Palesi et al. (ed.), Routing Algorithms in Networks-on-Chip (Springer, New York, 2014), Chapter 12

    Google Scholar 

  2. L. Shang, et al., in Proceeding IEEE/ACM International of Symposium on Microarchitecture (Micro). Thermal modeling, characterization and management of on-chip networks, (2004), pp. 67–78

    Google Scholar 

  3. K.C. Chen, S.-Y. Lin, H.-S. Hung, A.-Y. Wu, Topology-aware adaptive routing for non-stationary irregular mesh in throttled 3D NoC systems. IEEE Trans. Parallel Distrib. Syst. 24(10), 2109–2120 (2013)

    Article  Google Scholar 

  4. C.-H. Chao, in Proceeding NOCS. Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems, (2010), pp. 223–230

    Google Scholar 

  5. H. Sullivan, T.R. Bashkow, Fully Distributed Parallel Machine, Annual Symposium on Computer Architecture, A large scale, homogeneous, fully distributed parallel machine, I (ACM Press, 1977), pp. 105–117

    Google Scholar 

  6. C. Rusu et al., Adaptive inter–layer message routing in 3D networks–on–chip. Microprocess. Microsyst. 35(7), 613–631 (2011)

    Article  Google Scholar 

  7. M. Ebrahimi et al., in Proceeding 21th IEEE Euromicro Conference on Parallel, Distributed and Network-Based Computing (PDP). DyXYZ: Fully adaptive routing algorithm for 3D NoCs, (2013), pp. 499–503

    Google Scholar 

  8. S.Y. Lin et al., in Proceeding IEEE Int’lSymposium VLSI Design, Automation, and Test (VLSI-DAT). Traffic-and Thermal-Aware Routing for Throttling Three-Dimensional Network-on-Chip system, (2011), pp. 135–138

    Google Scholar 

  9. C.H. Chao et al., in Proceeding IEEE Int’l SOC Conference (SOCC). Transport layer assisted routing for non-stationary irregular mesh of thermal-aware 3D Network-on-chip systems, (2011)

    Google Scholar 

  10. X. Jiang, X. Lei, L. Zeng, T. Watanabe, Lecture Notes in Engineering and Computer Science: Proceedings of The International MultiConference of Engineers and Computer Scientists 2016, IMECS 2016, 16–18 March, 2016. Fully adaptive thermal-aware routing for runtime thermal management of 3D Network-on-chip, (Hong Kong, 2016), pp. 659–664

    Google Scholar 

  11. S. Pasricha, Y. Zou, A low overhead fault tolerant routing scheme for 3-D networks-on-chip, Int. Symp. Quality Electron. Design (2011), pp. 204–211

    Google Scholar 

  12. W. Dally, B. Towles, Principles and Practices of Interconnection Networks (Morgan Kaufmann Publishers Inc., San Francisco, 2003)

    Google Scholar 

  13. A. Patooghy, S.G. Miremadi, Complement routing: A methodology to design reliable routing algorithm for network on chips. Microprocess. Microsyst. 34, 163–173 (2010)

    Article  Google Scholar 

  14. Access Noxim: http://access.ee.ntu.edu.tw/noxim/index.html.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xin Jiang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer Nature Singapore Pte Ltd.

About this paper

Cite this paper

Jiang, X., Lei, X., Zeng, L., Watanabe, T. (2017). High Performance Fully Adaptive Runtime Thermal-Aware Routing Algorithm for 3D NoC. In: Ao, SI., Kim, H., Huang, X., Castillo, O. (eds) Transactions on Engineering Technologies. IMECS 2016. Springer, Singapore. https://doi.org/10.1007/978-981-10-3950-8_3

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-3950-8_3

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-3949-2

  • Online ISBN: 978-981-10-3950-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics