Skip to main content

Overcoming and Analyzing the Bottleneck of Interposer Network in 2.5D NoC Architecture

  • Conference paper
  • First Online:

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 626))

Abstract

As there are still a lot of challenges on 3D stacking technology, 2.5D stacking technology seems to have better application prospects. With the silicon interposer, the 2.5D stacking can improve the bandwidth and capacity of memory. Moreover, the interposer can be explored to make use of unused routing resources and generates an additional network for communication. In this paper, we conclude that using concentrated Mesh as the topology of the interposer network faces the bottleneck of edge portion, while using Double-Butterfly can overcome this bottleneck. We analyze the reasons that pose the bottleneck, compare impacts of different topologies on bottlenecks and propose design goals for the interposer network.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Wulf, W.A., McKee, S.A.: Hitting the memory wall: implications of the obvious. ACM SIGARCH Comput. Archit. News 23(1), 20–24 (1995)

    Article  Google Scholar 

  2. Xie, J., Zhao, J., Dong, X., Xie, Y.: Architectural benefits and design challenges for three-dimensional integrated circuits. In: 2010 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp. 540–543, December 2010

    Google Scholar 

  3. Deng, Y., Maly, W.P.: Interconnect characteristics of 2.5-d system integration scheme. In: Proceedings of the 2001 International Symposium on Physical design, pp. 171–175. ACM (2001)

    Google Scholar 

  4. Loh, G.H., Jerger, N.E., Kannan, A., Eckert, Y.: Interconnect memory challenges for multi-chip, silicon interposer systems. In: Proceedings of the 2015 International Symposium on Memory Systems, pp. 3–10. ACM (2015)

    Google Scholar 

  5. Bolsens, I., Xilinx, C.: 2.5D ICs: Just a stepping stone or a long term alternative to 3d? In: Keynote Talk at 3-D Architectures for Semiconductor Integration and Packaging Conference (2011)

    Google Scholar 

  6. AMD: Amd radeon r9 fury x graphics card (2015). http://support.amd.com/documents

  7. Saban, K.: Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency. Xilinx White paper: Vertex-7 FPGAs (2011)

    Google Scholar 

  8. Jerger, N.E., Kannan, A., Li, Z., Loh, G.H.: Noc architectures for silicon interposer systems: Why pay for more wires when you can get them (from your interposer) for free? In: 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 458–470. IEEE (2014)

    Google Scholar 

  9. Kannan, A., Jerger, N.E., Loh, G.H.: Enabling interposer-based disintegration of multi-core processors. In: Proceedings of the 48th International Symposium on Microarchitecture, pp. 546–558. ACM (2015)

    Google Scholar 

  10. Howard, J., Dighe, S., Hoskote, Y., et al.: A 48-core IA-32 message-passing processor with DVFS in 45 nm CMOS. In: 2010 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 108–109. IEEE (2010)

    Google Scholar 

  11. Wentzlaff, D., Griffin, P., Hoffmann, H., et al.: On-chip interconnection architecture of the tile processor. IEEE Micro 5, 15–31 (2007)

    Article  Google Scholar 

  12. Liu, C., Zhang, L., Han, Y., Li, X.: Vertical interconnects squeezing in symmetric 3d mesh network-on-chip. In: Proceedings of the 16th Asia and South Pacific Design Automation Conference, pp. 357–362. IEEE Press (2011)

    Google Scholar 

  13. Jiang, N., Becker, D.U., Michelogiannakis, G., Balfour, J., Towles, B., Shaw, D.E., Kim, J., Dally, W.J.: A detailed and flexible cycle-accurate network-on-chip simulator. In: 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 86–96. IEEE (2013)

    Google Scholar 

  14. Bakhoda, A., Kim, J., Aamodt, T.M.: Throughput-effective on-chip networks for manycore accelerators. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 421–432. IEEE Computer Society (2010)

    Google Scholar 

  15. Bakhoda, A., Yuan, G.L., Fung, W.W., Wong, H., Aamodt, T.M.: Analyzing CUDA workloads using a detailed GPU simulator. In: Proceedings of the International Symposium on Performance Analysis of Systems and Software, April 2009

    Google Scholar 

Download references

Acknowledgements

This work is supported by the National Natural Science Foundation of China (No.6133007, No. 61303065), Doctoral Fund of Ministry of Education (20134307120028).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yang Guo .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media Singapore

About this paper

Cite this paper

Li, C., Wang, Z., Wang, L., Ma, S., Guo, Y. (2016). Overcoming and Analyzing the Bottleneck of Interposer Network in 2.5D NoC Architecture. In: Wu, J., Li, L. (eds) Advanced Computer Architecture. ACA 2016. Communications in Computer and Information Science, vol 626. Springer, Singapore. https://doi.org/10.1007/978-981-10-2209-8_4

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-2209-8_4

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-2208-1

  • Online ISBN: 978-981-10-2209-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics