Skip to main content

Testing of Embedded SRAMs Using Parasitic Extraction Method

  • Conference paper
  • First Online:
9th International Conference on Robotic, Vision, Signal Processing and Power Applications

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 398))

Abstract

The limitation with the existing testing techniques is, if the test does not consider all the aspects of SRAM parameters, including parasitic memory effect, then it will result as an incomplete test. This paper presents a new parasitic extraction testing method for embedded SRAMs, employing defect-induced layout. The defect injection in a circuit is due to an open/short between wires, or missing contacts etc. In this work, only node-to-node short defects are considered. Our test results proved that using parasitic extraction method existing faults as well as undefined faults could be detected. The existing faults identified are Stuck At Fault, (SAF), Undefined Read Fault (URF), Read Destructive Fault (RDF), Undefined Write Fault (UWF), Random Read Fault (RRF), Incorrect Read Fault (IRF), and No Access Fault (NAF). The undefined faults identified are Bit-Line Delay Fault (BDF), Initialization Order Fault (IOF), Un Stabilized Write Fault (USWF), Un Stabilized Read Fault (USRF), and Write Before Access Fault (WBAF). In addition, the complete fault model dictionary is also an outcome of this work.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International Technology Roadmap for Semiconductors, 2013 edn, Executive Summary.

    Google Scholar 

  2. Segura J, Rubio A (1997) A detailed analysis of CMOS SRAM’s with gate oxide short defects. IEEE J. Solid-State Circ. 32(10)

    Google Scholar 

  3. Pavlov A, Sachdev M, de Gyvez JP An SRAM weak cell fault model and a DFT technique with a programmable detection threshold. In: ITC’04 proceedings of the international test conference on international test conference, pp 1006–1015, ISBN:0-7803-8581-0

    Google Scholar 

  4. Majhi AK, Azimane M, Eichenberger S, Bowen F Memory testing under different stress conditions: an industrial evaluation. In: Proceedings of the design, automation and test in Europe conference and exhibition (DATE’05) 1530–1591/05 IEEE

    Google Scholar 

  5. Dilillo L, Girard P, Pravossoudovitch S, Virazel A (2005) Efficient marchtest procedure for dynamic read destructive fault detection in SRAM memories. J Electron Test 21(5):551–561

    Article  Google Scholar 

  6. Benso A, Bosio A, Di Carlo S, Di Natale G, Prinetto P (2008) March test generation revealed. IEEE Trans Comput 57(12)

    Google Scholar 

  7. Fonseca RA, Dilillo1 L, Bosio A, Girard P, Pravossoudovitch S, Virazel A, Badereddine N Analysis of resistive-bridging defects in SRAM core-cells: a comparative study from 90 nm down to 40 nm technology nodes*. 978-1-4244-5833- 2/10/2010 IEEE

    Google Scholar 

  8. Hsu C-L, Ho M-H, Lin C-F (2009) Novel built-in current-sensor-based IDDQ testing scheme for CMOS integrated circuits. IEEE Trans Instrum Meas 58(7)

    Google Scholar 

  9. Birla S, Singh RK, Pattanaik M (2011) Stability and leakage analysis of a novel PP based 9T SRAM cell using N curve at deep submicron technology for multimedia applications. Circuits Syst. 2:274–280. doi:10.4236/cs.2011.24038. Published Online October 2011. http://www.scirp.org/journal/cs

  10. Lin C-W, Chen H-H, Yang H-Y, Huang C-Y, Chao MC-T, Huang R-F (2013) Fault models and test methods for sub threshold SRAMs. IEEE Trans Comput 62(3)

    Google Scholar 

  11. Sachdev M, de Gyvez JP Defect-oriented testing for nano-metric CMOS VLSI circuits, 2nd edn. University of Waterloo Ontario, Canada

    Google Scholar 

  12. Van de Goor AJ (2004) Using march tests to test SRAMs. IEEE Des Test Comput 10(1):8–14

    Google Scholar 

  13. Dekker R, Beenker F, Thijssen L (1990) A realistic fault model and test algorithms for static random access memories. IEEE Trans Comput-Aided Des 9(6)

    Google Scholar 

  14. Joshi RV, Mukhopadhyay S, Plass DW, Chan YH, Chuang C-T, Tan Y (2009) Design of sub-90 nm low-power and variation tolerant PD/SOI SRAM cell based on dynamic stability metrics. IEEE J Solid-State Circuits 44(3):965–976. doi:10.1109/JSSC.2009.2013768. 0018-9200/2009 IEEE

  15. Lu S-K, Hsu C-H (2006) Fault tolerance techniques for high capacity RAM. IEEE Trans Reliab 55(2):293–306. doi:10.1109/TR.2006.874912. 0018-9529/ 2006 IEEE

  16. Segura J, Rubio A (1997) A detailed analysis of CMOS SRAM’s with gate oxide short defects. IEEE J Solid-State Circuits 32(10):1543–1550. 0018-9200/97 IEEE, 0018-9200(97)06314-2

    Google Scholar 

  17. Hsu CL, Ho MH, Lin CF (2009) Novel built-in current sensor based IDDQ testing scheme for CMOS integrated circuits. IEEE Trans Instrum Meas 58(7):2196–2208. doi:10.1109/TIM.2009.2013668. 0018-9456/2009 IEEE

  18. Irobi S, Al-Ars Z, Hamdioui S, Thibeault C (2011) Testing for parasitic memory effect in SRAMs. In: Test symposium (ATS), 2011, 20th Asian, 978-1-4577-1984-4, 0.1109/ATS.2011.76, IEEE

    Google Scholar 

  19. Parvathi M, Vasantha N, Prasad KS (2013) Fault model analysis by parasitic extraction method for embedded SRAM. Int J Res Eng Technol 02(12)

    Google Scholar 

  20. Parvathi M, Vasantha N, Prasad KS (2015) New fault model analysis for embedded SRAM cell for deep submicron technologies using parasitic extraction method. In: 2015 international conference on VLSI systems, architecture, technology and applications (VLSI-SATA), pp 125–130. 978-1-4799-7926-4/15

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Muddapu Parvathi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer Science+Business Media Singapore

About this paper

Cite this paper

Parvathi, M., Prasad, K.S., Vasantha, N. (2017). Testing of Embedded SRAMs Using Parasitic Extraction Method. In: Ibrahim, H., Iqbal, S., Teoh, S., Mustaffa, M. (eds) 9th International Conference on Robotic, Vision, Signal Processing and Power Applications. Lecture Notes in Electrical Engineering, vol 398. Springer, Singapore. https://doi.org/10.1007/978-981-10-1721-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-1721-6_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-1719-3

  • Online ISBN: 978-981-10-1721-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics