Skip to main content

Bulk FinFETs: Design at 14 nm Node and Key Characteristics

  • Chapter
  • First Online:

Part of the book series: KAIST Research Series ((KAISTRS))

Abstract

In contrast to conventional 2-D MOSFETs, FinFETs are able to be scaled down to 20 nm and beyond, and have superior performance. There are two types of FinFETs:SOI FinFETs and bulk FinFETs. Bulk FinFETs are built on bulk-Si wafers, which have less defect density and are cheaper than SOI wafers, while also having better heat transfer rate to the substrate compared to SOI FinFETs. In 2011, Intel announced the world’s first 3-D transistors in the mass production of a 22 nm microprocessor (code-named Ivy Bridge). The 3-D transistors adopted by Intel are actually bulk FinFETs. In this chapter, we provide the design guidelines for bulk FinFETs at the 14 nm node, and compare bulk and SOI FinFETs in terms of scalability, parasitic capacitance, and heat dissipation. Decrease of the drain current by parasitic resistance in the source (S) and drain (D) regions is also addressed. Drain current fluctuation by single charge trap is studied in terms of the trap depth, trap position, and percolation path. In the design of 14 nm bulk FinFETs, a punch-through stopper at a position just under the S/D junction depth is required to suppress unwanted cross-talk between S and D. The peak concentration of the stopper needs to be 2–3 × 1018 cm−3. The S/D junction depth should be equal or slightly smaller than the height of fin body, defined from the surface of the isolation oxide region to the top of the fin body. Considering the short channel effect and drain current drivability, the reasonable doping concentration of uniformly doped fin body is 2–3 × 1017 cm−3. To keep the drain-induced barrier below 100 mV/V when the length between the S and D junctions is the same as the gate length (14 nm), the width of the fin body should be ~9 nm. Under the same doping concentration and geometry, both 14 nm SOI and bulk FinFETs have nearly the same IV characteristics, which mean nearly the same scalability. Since thin fin bodies protruding from the substrate are easily depleted, the junction capacitance of the S/D to fin body can be reduced to similar or even lower values than that of SOI FinFETs. To achieve a similar heat transfer rate to the substrate as bulk FinFETs, the buried oxide in SOI FinFETs should be thinned down to 20 nm or beyond, which could cause unwanted increase in the parasitic capacitance. The contact area between the metal electrode and the S/D region should be as wide as possible to reduce the S/D parasitic resistance.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Lilienfeld JE (1930) Method and apparatus for controlling electric currents. U.S. Patent 1,745,175 (filed in 1926, issued in 1930)

    Google Scholar 

  2. Kahng D, Atalla MM (1960) Silicon-silicon dioxide field induced surface devices. Paper presented at the IRE solid-state devices research conference, Pittsburgh, PA, June 1960

    Google Scholar 

  3. Deal BE (1969) Method of making stable semiconductor devices. U.S. Patent no. 3,426,422, 11 Feb 1969

    Google Scholar 

  4. Semiconductor Industry Association (SIA) (2013) International technology roadmap for semiconductors, 2013 edn

    Google Scholar 

  5. Kedzierski J, Xuan P, Subramanian V, Anderson E, Bokor J, King T-J, Hu C (2000) A 20 nm gate-length ultra-thin body p-MOSFET with silicide source/drain. Superlattices Microstruct 28(5):445–452

    Article  Google Scholar 

  6. Low T, Li FF, Shen C, Yeo Y-C, Hou YT, Zhu C, Chin A, Kwong DL (2004) Electron mobility in Ge and strained-Si channel ultrathin-body metal-oxide semiconductor field-effect transistors. Appl Phys Lett 85(12):2402–2404

    Article  Google Scholar 

  7. Choi Y-K, Lindert N, Xuan P, Tang S, Ha D, Anderson E, King T-J, Bokor J, Hu C (2001) Sub-20 nm CMOS FinFET technologies. In: IEDM technical digest, pp 421–424

    Google Scholar 

  8. Park Tai-su, Yoon Euijoon, Lee Jong-Ho (2003) A 40 nm body-tied FinFET (OMEGA MOSFET) using bulk Si wafer. IEE Phys E 19(1):6–12

    Article  Google Scholar 

  9. Doyle B, Boyanov B, Datta S, Doczy M, Hareland S, Jin B, Kavalieros J, Linton T, Rios R, Chau R (2003) Tri-gate fully-depleted CMOS transistors: fabrication, design, and layout. In: Technical digest of symposium on VLSI technology, pp 133–134

    Google Scholar 

  10. Wann CH, Noda K, Tanaka T, Yoshida M, Hu C (1996) A comparative study of advanced MOSFET concepts. IEEE Trans Electron Devices 43:1742–1753

    Article  Google Scholar 

  11. Wong H-SP, Chan KK, Taur Y (1997) Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel. In: IEDM technical digest, pp 427–430

    Google Scholar 

  12. Ferain I, Colinge CA, Colinge J-P (2011) Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transitors. Nature 479:310–316

    Article  Google Scholar 

  13. Leobandung E, Jian G, Guo L, Chou SY (1997) Wire-channel and wrap-around-gate metal-oxide-semiconductor field-effect transistors with a significant reduction of short channel effects. J Vac Sci Technol B 15(6):2791–2794

    Article  Google Scholar 

  14. Auth CP, Plummer JD (1997) Scaling theory for cylindrical, fully-depleted, surrounding-gate MOSFET’s. IEEE Electron Device Lett 18(2):74–77

    Article  Google Scholar 

  15. Kedzierski J, Nowak E, Kanarsky T, Zhang Y, Boyd D, Carruthers R, Cabral C, Amos R, Lavoie C, Roy R, Newbury J, Sullivan E, Benedict J, Saunders P, Wong K, Canaperi D, Krishnan M, Lee K-L, Rainey BA, Fried D, Cottrell P, Philip Wong H-S, Ieong M, Haensch W (2002) Metal-gate FinFET and fully-depleted SOI devices using total gate silicidation. In: IEDM technical digest, pp 247–250

    Google Scholar 

  16. Yang F-L, Chen H-Y, Chen F-C, Huang C-C, Chang C-Y, Chiu H-K, Lee C-C, Chen C-C, Huang H-T, Chen C-J, Tao H-J, Yeo Y-C, Liang M-S, Hu C (2002) 25 nm CMOS omega FETs. In: IEDM technical digest, pp 255–258

    Google Scholar 

  17. Kedzierski J, Fried DM, Nowak EJ, Kanarsky T, Rankin JH, Hanafi H, Natzle W, Boyd D, Zhang Y, Roy RA, Newbury J, Yu C, Yang Q, Saunders P, Witlets CP, Johnson A, Cole SP, Young HE, Carpenter N, Rakowski D, Rainey BA, Cotrell PE, Ieong M, Wong H-HP (2001) High-performance symmetric-gate and CMOS-compatible Vt asymmetric-gate FinFET devices. In: IEDM technical digest, pp 437–440

    Google Scholar 

  18. Rosner W, Landgraf E, Kretz J, Dreeskornfeld L, Schafer H, Stalele M, Schulz T, Hofmann F, Luyken RJ, Specht M, Hartwich J, Pamler W, Risch L (2004) Nanoscale FinFETs for low power applications. Solid-State Electron 48(10-11):1819–1823

    Article  Google Scholar 

  19. Shang H, Chang L, Wang X, Rooks M, Zhang Y, To B, Babich K, Totir G, Sun Y, Kiewra E, Ieong M, Haensch W (2006) Investigation of FinFET devices for 32 nm technologies and beyond. In: Symposium on VLSI technology digest, pp 66–67

    Google Scholar 

  20. von Arnim K, Augendre E, Pacha C, Schulz T, San KT, Bauer F, Nackaerts A, Rooyackers R, Vandeweyer T, Degroote B, Collaert N, Dixit A, Singanamalla R, Xiong W, Marshall A, Cleavelin CR, Schrufer K, Jurczak M (2007) A low-power multi-gate FET CMOS technology with 13.9 ps inverter delay, large-scale integrated high performance digital circuits and SRAM. In: Symposium on VLSI technology digest, pp 106–107

    Google Scholar 

  21. Okano K, Izumida T, Kawasaki H, Kaneko A, Yagishita A, Kanemura T, Kondo M, Ito S, Aoki N, Miyano K, Ono T, Yahashi K, Iwade K, Kubota T, Matsushita T, Mizushima I, Inaba S, Ishimaru K, Suguro K, Eguchi K, Tsunashima Y, Ishiuchi H (2005) Process integration technology and device characteristics of CMOS FinFET on bulk silicon substrate with sub-10 nm fin width and 20 nm gate length. In: IEDM technical digest, pp 721–724

    Google Scholar 

  22. Wambacq P, Verbruggen B, Scheir K, Borremans J, De Heyn V, Van der Plas G, Mercha A, Parvais B, Subramanian V, Jurczak M, Decoutere S, Donnay S (2006) Analog and RF circuits in 45 nm CMOS and below: planar bulk versus FinFET. In: Proceedings of European solid-state device research conference technical digest, pp 53–56

    Google Scholar 

  23. Raskin J-P, Chung TM, Kilchytska V, Lederer D, Flandre D (2006) Analog/RF performance of multiple gate SOI devices: wideband simulations and characterization. IEEE Trans Electron Devices 53(5):1088–1095

    Article  Google Scholar 

  24. Guo Z, Balasubramanian S, Zlatanovici R, King T-J, Nikolic B (2005) FinFET-based SRAM design. In: Proceedings of international symposium on low power electronics and design technical digest, pp 2–7

    Google Scholar 

  25. Kawauka H, Okano K, Kaneko A, Yagishita A, Izumida T, Kanemura T, Kasai K, Ishida T, Sasaki T, Takeyama Y, Aoki N, Ohtsuka N, Suguro K, Eguchi K, Tsunashima Y, Inaba S, Ishimaru K, Ishiuchi H (2006) Embedded bulk FinFET SRAM cell technology with planar FET peripheral circuit for hp 32 nm node and beyond. In: Symposium on VLSI technology digest, pp 86–87

    Google Scholar 

  26. Park T, Cho HJ, Chae JD, Han SY, Park D, Kim K, Yoon E, Lee JH (2006) Characteristics of the full CMOS SRAM cell using body-tied TG MOSFETs (bulk FinFETs). IEEE Trans Electron Devices 53(3):481–487

    Article  Google Scholar 

  27. Cho IH, Park T-S, Choi SY, Lee JD, Lee J-H (2003) Body-tied doube-gate SONOS flash (omega flash) memory device built on bulk Si wafer. In: Proceedings of device research conference technical digest, pp 133–134

    Google Scholar 

  28. Hsu T-H, Lue H-T, Lai E-K, Hsieh J-Y, Wang Z-Y, Yang L-W, King Y-C, Yang T, Chen K-C, Hsieh K-Y, Liu R, Lu C-Y (2007) A high-speed BE-SONOS NAND flash utilizing the field-enhancement effect of FinFET. In: IEDM technical digest, pp 913–916

    Google Scholar 

  29. Gerardi C, Lombardo S, Cina G, Tripiciano E, Corso D, Ancarani V, Iacono G, Bongiorno C, Garozzo C, Barbe P, Costa GA, Coccorese C, Vecchio M, Rimini E, Melanotte M (2007) Highly manufacturable/low aspect ratio Si nano floating gate FinFET memories: high speed performance and improved reliability. In: Proceedings of non-volatile semiconductor memory workshop technical digest, pp 44–45

    Google Scholar 

  30. Hwang J-R, Lee T-L, Ma H-C, Lee T-C, Chung T-H, Chang C-Y, Liu S-D, Perng B-C, Hsu J-W, Lee M-Y, Ting C-Y, Huang C-C, Wang J-H, Shieh J-H, Yang F-L (2005) 20 nm gate bulk-FinFET SONOS flash. In: IEDM technical digest, pp 154–157

    Google Scholar 

  31. Cho ES, Kim T-Y, Cho BK, Lee C-H, Lee JJ, Fayrushin A, Lee C, Park D, Ryu B-I (2006) Technology breakthrough of body-tied FinFET for sub 50 nm NOR flash memory. In: Symposium on VLSI technology digest, pp 110–111

    Google Scholar 

  32. Lee CH, Yoon JM, Lee C, Yang HM, Kim KN, Kim TY, Kang HS, Ahn YJ, Park D, Kim K (2004) Novel body tied FinFET cell array transistor DRAM with negative word line operation for sub 60 nm technology and beyond. In: Symposium on VLSI technology digest, pp 130–131

    Google Scholar 

  33. Lee C, Yoon J-M, Lee C-H, Park JC, Kim TY, Kang HS, Sung SK, Cho ES, Cho HJ, Ahn YJ, Park D, Kim K, Ryu B-I (2004) Enhanced data retention of damascene-finFET DRAM with local channel implantation and < 100 > fin surface orientation engineering. In: IEDM technical digest, pp 61–64

    Google Scholar 

  34. Kim Y-S, Lee S-H, Shin S-H, Han S-H, Lee J-Y, Lee J-W, Han J, Yang S-C, Sung J-H, Lee E-C, Song B-Y, Chung D-J, Kim K, Lee W (2005) Local-damascene-FinFET DRAM integration with p+ doped poly-silicon gate technology for sub-60 nm device generations. In IEDM technical digest, pp 315–318

    Google Scholar 

  35. Lee D-H, Lee S-G, Yoo JR, Buh G-H, Yon GH, Shin D-W, Lee DK, Byun H-S, Jung IS, Park T-S, Shin YG, Choi S, Chung U-I, Moon J-T, Ryu B-I (2007) Improved cell performance for sub-50 nm DRAM with manufacturable bulk FinFET structure. In: Symposium on VLSI technology digest, pp 164–165

    Google Scholar 

  36. Eneman G, Hellings G, De Keersgieter A, Collaert N, Thean A (2013) Quantum-barriers and ground-plane isolation: A path for scaling bulk-FinFET technologies to the 7 nm-node and beyond. In: IEDM technical digest, Dec 2013, pp 320–323

    Google Scholar 

  37. Wu S-Y et al (2013) A 16 nm FinFET CMOS technology for mobile SoC and computing applications. In: IEDM technical digest, Dec 2013, pp 224–227

    Google Scholar 

  38. Gupta S, Moroz V, Smith L, Lu Q, Saraswat KC (2013) A group IV solution for 7 nm FinFET CMOS: Stress engineering using Si, Ge and Sn. In: IEDM technical digest, Dec 2013, pp 644–647

    Google Scholar 

  39. Guo W, Moroz V, Van der Plas G, Choi M, Redolfi A, Smith L, Eneman G, Van Huylenbroeck S, Su PD, Ivankovic A, De Wachter B, Debusschere I, Croes K, De Wolf I, Mercha A, Beyer G, Swinnen B, Beyne E (2013) Copper through silicon via induced deep out zone for 10 nm node bulk FinFET CMOS technology. In: IEDM technical digest, Dec 2013, pp 340–343

    Google Scholar 

  40. Kang CY, Sohn C, Baek R-H, Hobbs C, Kirsch P, Jammy R (2013) Effects of layout and process parameters on device/circuit performance and variability for 10 nm node FinFET technology. In: Symposium on VLSI technology digest, 2013, pp. 90–91

    Google Scholar 

  41. Maeda S, Ko Y, Jeong J, Fukutome H, Kim M, Choi J, Shin D, Oh Y, Lim W, Lee K (2013) 3 dimensional scaling extensibility on epitaxial source drain strain technology toward Fin FET and beyond. In: Symposium on VLSI technology digest, pp 88–89

    Google Scholar 

  42. Mitard J, Witters L, Loo R, Lee SH, Sun JW, Franco J, Ragnarsson L-A, Brand A, Lu X, Yoshida N, Eneman G, Brunco DP, Vorderwestner M, Storck P, Milenin AP, Hikavyy A, Waldron N, Favia P, Vanhaeren D, Vanderheyden A, Olivier R, Mertens H, Arimura H, Sonja S, Vrancken C, Bender H, Eyben P, Barla K, Lee S-G, Horiguchi N, Collaert N, Thean AV-Y (2014) 15 nm-WFIN high-performance low-defectivity strained-germanium pFinFETs with low temperature STI-last process. In: Symposium on VLSI technology digest, pp 138–139

    Google Scholar 

  43. Waldron N, Merckling C, Guo W, Ong P, Teugels L, Ansar S, Tsvetanova D, Sebaai F, van Dorp DH, Milenin A, Lin D, Nyns L, Mitard J, Pourghaderi A, Douhard B, Richard O, Bender H, Boccardi G, Caymax M, Heyns M, Vandervorst W, Barla K, Collaert N, Thean AV-Y (2014) An INGaAs/InP auantum well FinFet using the replacement Fin Process integrated in an RMG flow on 300 mm Si substrates. In: Symposium on VLSI technology digest, pp 32–33

    Google Scholar 

  44. http://newsroom.intel.com/docs/DOC-2032

  45. Hashemi P, Kobayashi M, Majumdar A, Yang LA, Baraskar A, Balakrishnan K, Kim W, Chan K, Engelmann SU, Ott JA, Bedell SW, Murray CE, Liang S, Dennard RH, Sleight JW, Leobandung E, Park D-G (2013) High-performance Si1-xGex channel on insulator trigate PFETs featuring an implant-free process and aggressively-scaled Fin and gate dimensions. In: Symposium on VLSI technology digest, pp 18–19

    Google Scholar 

  46. Seo K-I et al (2014) A 10 nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI. In: Symposium on VLSI technology digest, pp 14–15

    Google Scholar 

  47. Hashemi P, Balakrishnan K, Majumdar A, Khakifiroooz A, Kim W, Baraskar A, Yang LA, Chan K, Engelmann SU, Ott JA, Antoniadis DA, Leobandung E, Park D-G (2014) Strained Si1-xGex-on-Insulator PMOS FinFETs with excellent sub-threshold leakage, extremely high short-channel performance and source injection velocity for 10 nm node and beyond. In: Symposium on VLSI technology digest, pp 18–19

    Google Scholar 

  48. Matsukawa T, Fukuka K, Liu YX, Endo K, Tsukada J, Yamauchi H, Ishikawa Y, O’uchi S, Mizubayashi W, Migita S, Morita Y, Ota H, Masahara M (2014) Lowest variability SOI FinFETs having multiple Vt by back-biasing. In: Symposium on VLSI technology digest, pp 142–143

    Google Scholar 

  49. Colinge J-P (1991) Silicon-on-insulator technology: materials to VLSI. Kluwer Academic Publishers, Dordrecht

    Book  Google Scholar 

  50. Lee J-H, Park T-S, Yoon E, Park JJ (2003) Simulation study of a new body-tied FinFETs (Omega MSOFETs) using bulk Si wafers. In: Proceedings of Si nanoelectronics technical digest, pp 102–103

    Google Scholar 

  51. http://www.chipworks.com/ko/technical-competitive-analysis/resources/blog/intels-22-nm-tri-gate-transistors-exposed/

  52. http://www.goldstandardsimulations.com/news/blog_search/simulation-analysis-of-the-intel-22nm-finfet/

  53. Metz MV, Datta S, Docay ML, Kavalieros JT, Brask JK, Chau RS (2008) Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors, Intel, US 7,425,500

    Google Scholar 

  54. Fan M-L, Hu VP-H, Chen Y-N, Su P, Chuang CT (2012) Analysis of single-trap-induced random telegraph noise on FinFET devices, 6T SRAM cell, and logic circuit. IEEE Trans Electron Devices 59(8):2227–2234

    Article  Google Scholar 

  55. Asenov A (1998) Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFET’s: A 3-D “atomistic” simulation study. IEEE Trans Electron Devices 45(12):2505–2513

    Article  Google Scholar 

  56. Li Y, Hwang C-H, Li T-Y, Han M-H (2010) Process-variation effect, metal-gate work-function fluctuation, and random-dopant fluctuation in emerging CMOS technologies. IEEE Trans Electron Devices 57(2):437–447

    Article  Google Scholar 

  57. Burzo MG, Komarov PL, Raad PE (2003) Thermal transport properties of gold-covered thin-film silicon dioxide. IEEE Trans Compon Packag Technol 26(1):80–88

    Article  Google Scholar 

  58. Glassbrenner CJ, Slack GA (1964) Thermal conductivity of silicon and germanium from 3 oK to the melting point. Phys Rev 134(4A):A1058–A1069

    Article  Google Scholar 

Download references

Acknowledgments

This work was supported by the Center for Integrated Smart Sensors, funded by the Ministry of Science, ICT & Future Planning, as the Global Frontier Project.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jong-Ho Lee .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Lee, JH. (2016). Bulk FinFETs: Design at 14 nm Node and Key Characteristics. In: Kyung, CM. (eds) Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting. KAIST Research Series. Springer, Dordrecht. https://doi.org/10.1007/978-94-017-9990-4_2

Download citation

  • DOI: https://doi.org/10.1007/978-94-017-9990-4_2

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-017-9989-8

  • Online ISBN: 978-94-017-9990-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics