Skip to main content

Abstract

Almost any thin film can be deposited by sputtering or evaporation (physical vapor deposition, PVD); however, conformality of sputtered films is poor, and evaporated films are very nearly line-of-sight. Chemical vapor deposition is of interest whenever films must cover topography, fill trenches or holes. In addition, because CVD films are generally formed by chemical reactions at the film surface, they may have significantly different properties from physical vapor deposited films, which are usually created from individual atoms with all bonds broken impinging on the surfaces. For example, evaporating silicon in high vacuum onto a cool substrate produces an amorphous defective film with little electrical conductivity and no response to introduction of electrical dopants. Deposition of a “silicon” film from silane by low-power plasma-enhanced CVD can create a hydrogenated film with vastly improved electrical properties, including the ability to form p- and n-doped regions and electron mobilities on the order of 1 cm2/v sec: two orders of magnitude worse than crystalline materials but still quite adequate to make useful transistors for flat-panel displays.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Further Reading

Silicon dioxide and related films

  • The Chemistry of Silica, Ralph, Iler, Wiley (1979), ISBN 0–471–02404–X

    Google Scholar 

  • “Structural Similarities and Dissimilarities between SiO2, and H2O” F. Liebau in The Physics and Technology of Amorphous Si0 2, ed. R. A. Devine, Plenum (NY) 1992

    Google Scholar 

  • “Thermal Stability of Hydroxyl Groups on a Well-Defined Silica Surface”, O. Sneh and S. George, J Phys Chem vol 99, p. 4639 (1995)

    Google Scholar 

Si02 from TEOS — Oxygen

  • “Model studies of dielectric thin film growth: Chemical vapor deposition of Si02” J. Crowell, L. Tedder, H. Cho, F. Cascarano and M. Logan, J Vac. Sci. Technol. A8 1864 (1990)

    Google Scholar 

  • “Mechanistic studies of dielectric thin film growth by low pressure chemical vapor deposition: The reaction of tetraethoxysilane with SiO, surfaces” L. Tedder, G. Lu and J. Crowell , J. Appl. Phys. 69 7037 (1991)

    Google Scholar 

  • “Two precursor model for low-pressure chemical vapor deposition of silicon dioxide from tetraethylorthosilicate” M. IslamRaja, C. Chang, J. McVittie, M. Cappelli, and K. Saraswat , J. Vac. Sci. Technol. B11 720 (1993)

    Google Scholar 

Si02 from TEOS — Ozone

  • “Silicon Dioxide Deposition by Atmospheric Pressure and Low-Temperature CVD Using TEOS and Ozone” K. Fujino, Y. Nlshimoto, N. Tokumasu, and K. Maeda, J. Electrochem. Soc. 137 2883 (1990)

    Google Scholar 

  • “Doped Silicon Oxide Deposition by Atmospheric Pressure and Low Temperature Chemical Vapor Deposition Using Tetraethoxysilane and Ozone” K. Fujino, Y. Nishimoto, N. Tokumasu and K. Maeda, J. Electrochem. Soc. 138 3019 (1991)

    Google Scholar 

  • “Surface Modification of Base Materials for TEOS/03 Atmospheric Pressure Chemical Vapor Deposition” K. Fujino, Y. Nishimoto, N. Tokumasu and K. Maeda, J. Electrochem. Soc. 139 1 690 (1992)

    Google Scholar 

  • “Surface Related Phenomena in Integrated PECVD/Ozone-TEOS SACVD...” K. Kwok, E. Yieh, S. Robles and B. Nguyen J. Electrochem. Soc. 141 2172 (1994)

    Google Scholar 

Si02 from Silane — N2O

  • “Inter-Metal Dielectric and Passivation-Related Properties of Plasma BPSG” I. Avigal, Solid State Technology October 1983 p. 217

    Google Scholar 

  • “Plasma-Enhanced Deposition of Borophosphosilicate Glass Using TEOS and Silane Sources” K. Law, J. Wong, C. Leung, J. Olsen, D. Wang, Solid State Technology April 1989 p. 60

    Google Scholar 

  • “Plasma Enhanced Chemical Vapor Deposition of Silicon Dioxide Deposited at Low Temperatures” M. Ceiler, B. Kohl and S. Bidstrup J. Electrochem. Soc. 142 2067 (1995)

    Google Scholar 

  • “Chemical Etch Rate of Plasma-Enhanced Chemical Vapor Deposited Si02 Films”, R. Besser and P. Louris, J. Electrochem. Soc. 144 p. 2859 (1997)

    Google Scholar 

Si02 from TEOS with Plasma Excitation

  • “Plasma TEOS Process for Interlayer Dielectric Applications” B. Chin, E. Van de Ven Solid State Technology April 1988 p. 119

    Google Scholar 

  • “Plasma TEOS as in Intermetal Dielectric In Two Level Metal Technology” G. Hills, A. Harrus and M. Thoma, Solid State Technology, April, 1990 p. 127

    Google Scholar 

  • “Characterization of a multiple-step in situ plasma enhanced chemical vapor deposition (PECVD) tetraethylorthosilicate (TEOS) planarization scheme for submicron manufacturing” J. Perchard, H. Smith, R. O’Connor, J. Olsen and K. Law, SPIE Proceedings vol. 1 188 Multichamber and Insitu Processing of Electronic Materials (1989) p. 75

    Google Scholar 

  • “Stress in SiO2 Films Deposited by Plasma and Ozone Tetraethylorthosilicate Chemical Vapor Deposition Processes” K. Ramkumar and A. Saxena, J. Electrochem. Soc. 139 1437 (1992)

    Google Scholar 

  • “Modeling of PECVD TEOS Oxide Step Coverage Using an Overhang Structure” C. Chang, J. McVittie, K. Saraswat, Proc. 9th Symposium Plasma Processing (1992) ed. G. Mathad, D. Hess

    Google Scholar 

  • “Electrical Characterization of Doped and Undoped PECVD TEOS Oxides” J. Butler, G. Allen, A. Hall, R. Nowak, VMIC, June 12–13, 1990 p. 387

    Google Scholar 

  • “Relationship between Water Diffusivity of Dielectric Films and Accelerated Hot Carrier Degradation Caused by Water” K. Fukuda, T. Nakano, M. Fujishima, N. Mura, K. Tokunaga, A. Tsuzumitani and S. Ichinose, Jpn. J. Appt. Phys. 34 963 (1995)

    Google Scholar 

High-Density-Plasma SiO2

  • “Profile Simulation of Plasma Enhanced and ECR Oxide Deposition with Sputtering”; C. Chang, J. McVittie, K. Saraswat, S. Lassig, J. Dong; 1993 Intl Electron Devices Meeting, Washington DC, technical digest p. 853

    Google Scholar 

  • “Modeling High-Density-Plasma Deposition of SiO2 in SiH4/O7/Ar”, E. Mecks, R. Larson, P. Ho, S. Han, E. Edelberg, E. Aydil and C. Apblett, Sandia Report SAND97–8241-UC 401 March 1997

    Google Scholar 

  • “Role of ions in electron cyclotron resonance plasma-enhanced chemical vapor deposition of silicon dioxide” K. Seaward, J. Turner, K. Nauka, and A. Nel, J. Vac. Sci. Technol. B13 118 (1995)

    Google Scholar 

  • “Study of surface reactions during plasma enhanced chemical vapor deposition of SiO2 from SiH4, O, and Ar plasma” S. Han and E. Aydil J. Vac. Sci. Technol. A14 2062 (1996)

    Google Scholar 

  • “Ion Bombardment Induced Compositional Change in ECR-CVD SiO2 and SiN:H”, D. Dobkin, K. Seaward, F. Mertz and K. Nauka, Proc. 13th Intl Conf on CVD (Electrochemical Society Proc vol 96–5) p. 523 (1996)

    Google Scholar 

Silicon Nitride

  • “The Crystal Structures of Alpha and Beta Silicon and Germanium Nitrides” S. Wild, P. Grieveson and K. Jack in Special Ceramics 5, ed. P. Popper, British Ceramic Research Association, 1972

    Google Scholar 

  • “Structure and Chemical Composition of Silicon Nitride” chapter 4 of Silicon Nitride in Electronics, Belyi et. al., T Elsevier 1986

    Google Scholar 

  • “The electronic properties of silicon nitride” J. Robertson Philosophical Magazine B44 215 (1981)

    Google Scholar 

  • “Distribution of Sodium in Silicon Nitride” I. Fr?nz and W. Langheinrich Solid State Elect 12 145 (1969)

    Google Scholar 

Thermal Deposition of Silicon Nitride

  • “Low Pressure CVD Production Processes for Poly, Nitride, and Oxide”, R. Roster, Solid State Technology April 1977 p. 63

    Google Scholar 

  • “Reliability study of thin inter-poly dielectrics for non-volatile memory application” S. Mori, Y. Kaneko, N. Arai, Y. Ohshima, N. Araki, K. Narita, E. Sakagami and K. Yoshikawa, IEEE Int’l Reliability Physics Symposium 1990 p. 132

    Google Scholar 

  • “Dielectric Breakdown and Current Conduction of Oxide/Nitride/Oxide MultiLayer Structures” K. Kobayashi, H. Miyotake, M. Hirayama, T. Higaki and H. Abe, J. Electrochem. Soc. 139 1693 (1992)

    Google Scholar 

  • “Comprehensive downstream effluent management”, Y. Gu and D. Hauschulz, Solid State Technology 1998

    Google Scholar 

Plasma Deposition of Silicon Nitride

  • “Controlling the plasma chemistry of silicon nitride and oxide deposition from silane” D. Smith, J. Vac. Sci. Technol. A11 1843 (1993)

    Google Scholar 

  • “Advantages of Dual Frequency PECVD for Deposition of ILD and Passivation Films” E. van de Ven, I. Connick and A. Harms, VMIC 1990

    Google Scholar 

  • “Sodium diffusion in plasma-deposited amorphous oxygen-doped silicon nitride (a-SiON:H) films” J. Osenbach and S. Voris, J. Appl. Phys. 63 4494 (1988)

    Google Scholar 

  • “Gate dielectric and contact effects in hydrogenated amorphous silicon - silicon nitride thin film transistors” N. Lustig and J. Kanicki [IBM] J Appl Phys 65 #10 3951 (1989)

    Google Scholar 

  • “PECVD Nitride as a Gate Dielectric for Amorphous Silicon Thin Film Transistor” Y. Kuo, J. Electrochem. Soc. 142 186 (1995)

    Google Scholar 

Tantalum Oxide

  • “Trends in DRAM Dielectrics” K. Tang, W. Lau and G. Samudra Circuits & Devices May 1997 p. 27

    Google Scholar 

  • “Low-Temperature Integrated Process Below 500°C for thin Ta2O; Capacitor for Giga-Bit DRAMs” Y. Takaishi, M. Sakao, S. Kamiyama, H. Suzuki and H. Watanabe, IEDM 1994 p. 839

    Google Scholar 

  • “ Ta,05 Capacitors for 1 Gbit DRAM and Beyond” K. Kwon, I. Park, D. Han, E. Kim, S. Ahn, and M. Lee, IEDM 1994 p. 835

    Google Scholar 

  • “Highly Reliable, High C DRAM Storage Capacitors with CVD Ta205 Films on Rugged Polysilicon” G. Lo, D. Kwong, P. Fazan, V. Mathews, and N. Sandler, IEEE Electron Device Lett .14 216 (1993)

    Google Scholar 

  • “A New Post-Deposition Annealing Method Using Furnace N20 for the Reduction of Leakage Current of CVD Ta205 Storage Capacitors”, S.C. Sun and T.F. Chen, IEDM 1996 S27–4

    Google Scholar 

  • “Electrical Characterization of CVD TiN Upper Electrode for Ta205 Capacitor”, Myoung?Bum Lee, Hyeon-Deok Lee, Byung-Lyul Park, U-In Chung, Young-Bum Koh and Moon-Yong Lee, IEDM 1996 S27–3

    Google Scholar 

Tungsten

  • Much useful work in the 80’s appeared in the proceedings of the Tungsten and Other Refractory materials for VLSI Applications, I through V, Materials Research Society

    Google Scholar 

  • “Surface Chemistry of the WF6-based Chemical Vapor Deposition of Tungsten” M. Yu, K. Ahn, and R. Joshi IBM J Res Dev 34 875 (1990)

    Google Scholar 

  • “Thermodynamic modeling of selective chemical vapor deposition processes in microelectronic silicon” R. Madar and C. Bernard, J Vac Sci Technol A8 1413 (1990)

    Google Scholar 

  • “Highly Manufacturable Process Technology for Reliable 256 Mbit and I Gbit DRAMs” H. Kang, K. Kim, Y. Shin, I. Park, K. Ko, C. Kim, K. Oh, S. Kim, C. Hong, K. Kwon, J. Yoo, Y. Kim, C. Lee, W. Paick, D. Suh, C. Park, S. Lee, S. Ahn, C. Hwang and M. Lee, IEDM 1994 p. 635

    Google Scholar 

TiN and Barrier Metals

  • Handbook of Refractory Carbides and Nitrides, Hugh Pierson, Noyes publications, 1996 “Chemical Vapor Deposition of Titanium Nitride at Low Temperatures” S. Kurtz and R. Gordon Thin Solid Films 140 277 (1986)

    Google Scholar 

  • “The deposition rate and properties of the deposit in plasma enhanced chemical vapor deposition of TiN” D. Jang, J. Chun and J. Kim J Vac Sci Technol A7 31 (1989)

    Google Scholar 

  • “Growth and Properties of LPCVD Titanium Nitride as a Diffusion Barrier for Silicon Device Technology” A. Sherman J. Electrochem Soc. 137 1892 (1990)

    Google Scholar 

  • “Atomic Layer Epitaxy Growth of TiN Thin Films”,M. Ritala, M. Leskela, E. Rauhala and P. Haussalo,J. Electrochem Soc 142 p. 2731 (1995)

    Google Scholar 

  • “Kinetics of the Formation of Titanium Nitride Layers by Rapid Thermal Low Pressure Chemical Vapor Deposition from TiC14–NH3-H2”,L. Imhoff, A. Bouteville, and J. Remy, J. Electrochem. Soc. 145 p. 1672 (1998)

    Google Scholar 

  • “Silicon Dimethylamido Complexes and Ammonia as Precursors for the Atmospheric Pressure Chemical Vapor Deposition of Silicon Nitride Thin Films” R. Gordon, D. Hoffman and U. Riaz, Chem Mater 2 481 (1991)

    Google Scholar 

  • “Deposition of TiN thin films by organometallic chemical vapor deposition” thermodynamical predictions and experimental results“,C. Jimenez, S. Gilles, C. Bernard and R. Madar, Surface and Coatings Technology 76–77 p. 237 (1995)

    Google Scholar 

  • “Chemical Vapor Deposition of TiN from Tetrakis(dimethylamido)titanium and Ammonia: Kinetics and Mechanistic Studies of the Gas Phase Chemistry”, B. Weiller, J. American Chem. Soc. 118 p. 4975 (1996)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Dobkin, D.M., Zuraw, M.K. (2003). CVD Films. In: Principles of Chemical Vapor Deposition. Springer, Dordrecht. https://doi.org/10.1007/978-94-017-0369-7_7

Download citation

  • DOI: https://doi.org/10.1007/978-94-017-0369-7_7

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-6277-2

  • Online ISBN: 978-94-017-0369-7

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics