Skip to main content

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 41))

  • 1234 Accesses

Abstract

As discussed in the previous chapter, process, voltage and temperature variations as well as aging significantly affect the timing of digital circuits. To cope with these uncertainties, worst-case guard-banding is still the most common design approach. As the worst-case is very rare however, in most cases power or performance is wasted by this approach.

By scaling the operating voltage, energy efficiency can be increased. Tuning the supply voltage dependent on PVTA variations is referred to as adaptive voltage scaling (AVS). The term AVS is often interchanged with dynamic voltage scaling (DVS), but note that DVS considers only varying workloads and does not adapt to PVTA variations at all. To clarify the difference between both techniques, they are explained in the following two sections.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Arbitrarily changing the duty cycle is of course only possible, if the negative clock edge is not used to trigger any logic events.

References

  1. T. Fischer, F. Anderson, B. Patella, S. Naffziger, A 90nm variable-frequency clock system for a power-managed Itanium-family processor, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2005), pp. 294–295

    Google Scholar 

  2. A. Drake, R. Senger, H. Deogun, G. Carpenter, S. Ghiasi, T. Nguyen, N. James, M. Floyd, V. Pokala, A distributed critical-path timing monitor for a 65nm high-performance microprocessor, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2007), pp. 398–399

    Google Scholar 

  3. S. Das, C. Tokunaga, S. Pant, W.H. Ma, S. Kalaiselvan, K. Lai, D.M. Bull, D.T. Blaauw, RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circuits 44(1), 32–48 (2009)

    Article  Google Scholar 

  4. D. Ernst, N.S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, Razor: A low-power pipeline based on circuit-level timing speculation, in Proceedings of the 36th Annual IEEE/ACM International Symposium MICRO-36 Microarchitecture (2003), pp. 7–18

    Google Scholar 

  5. J. Tschanz, K. Bowman, S.-L. Lu, P. Aseron, M. Khellah, A. Raychowdhury, B. Geuskens, C. Tokunaga, C. Wilkerson, T. Karnik, V. De, A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2010), pp. 282–283

    Google Scholar 

  6. V. Gutnik, A.P. Chandrakasan, Embedded power supply for low-power DSP. IEEE J. Solid-State Circuits 5(4), 425–435 (1997)

    Google Scholar 

  7. S. Gochman, R. Ronen, I. Anati, A. Berkovits, T. Kurts, A. Naveh, A. Saeed, Z. Sperber, R.C. Valentine, The Intel Pentium M processor: Microarchitecture and performance. Intel Technol. J. 7, 21–36 (2003)

    Google Scholar 

  8. Enhanced Intel SpeedStep Technology for the Intel Pentium M Processor (White Paper) (2004), ftp://download.intel.com/design/network/papers/30117401.pdf

  9. P. Macken, M. Degrauwe, M. Van Paemel, H. Oguey, A voltage reduction technique for digital systems, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (1990), pp. 238–239

    Chapter  Google Scholar 

  10. D. Lorenz, M. Barke, U. Schlichtmann, Aging analysis at gate and macro cell level, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2010), pp. 77–84

    Google Scholar 

  11. V. Zolotov, J. Xiong, H. Fatemi, C. Visweswariah, Statistical path selection for at-speed test. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 29(5), 749–759 (2010)

    Article  Google Scholar 

  12. K.A. Bowman, J.W. Tschanz, N.S. Kim, J.C. Lee, C.B. Wilkerson, S.L.L. Lu, T. Karnik, V.K. De, Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE J. Solid-State Circuits 44(1), 49–63 (2009)

    Article  Google Scholar 

  13. D. Bull, S. Das, K. Shivashankar, G.S. Dasika, K. Flautner, D. Blaauw, A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. IEEE J. Solid-State Circuits 46(1), 18–31 (2011)

    Article  Google Scholar 

  14. S. Das, D. Roberts, S. Lee, S. Pant, D. Blaauw, T. Austin, K. Flautner, T. Mudge, A self-tuning DVS processor using delay-error detection and correction. IEEE J. Solid-State Circuits 41(4), 792–804 (2006)

    Article  Google Scholar 

  15. M. Eireiner, S. Henzler, G. Georgakos, J. Berthold, D. Schmitt-Landsiedel, In-situ delay characterization and local supply voltage adjustment for compensation of local parametric variations. IEEE J. Solid-State Circuits 42(7), 1583–1592 (2007)

    Article  Google Scholar 

  16. S. Mitra, Circuit failure prediction for robust system design in scaled CMOS, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2008), pp. 524–531

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Wirnshofer, M. (2013). Related Work. In: Variation-Aware Adaptive Voltage Scaling for Digital CMOS Circuits. Springer Series in Advanced Microelectronics, vol 41. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-6196-4_3

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-6196-4_3

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-6195-7

  • Online ISBN: 978-94-007-6196-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics