Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 184))

Abstract

One of the most important reasons for using NoC architectures is their promise for scalability. Several books provide an introduction to the NoC concept and discuss various research issues, while an exhaustive list of references can be found in some NoC bibliographies available on-line. Likewise, a comprehensive introduction to NoCs and existing design practices is presented. In what follows, we provide a systematic literature review which is structured along the lines discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The two terms “switching” and “flow control” have been used interchangeably in leading NoC texts [40, 47, 107].

References

  1. Abad P, Puente V, Gregorio JA, Prieto P (2007) Rotary router: An efficient architecture for CMP interconnection networks. In: Proceedings of the international symposium on computer architecture, June 2007

    Google Scholar 

  2. Adriahantenaina A, Greiner A (2003) Micro-network for SoC: implementation of a 32-Port SPIN network. In: Proceedings of design, automation and test in Europe conference, March 2003

    Google Scholar 

  3. Al Faruque MA, Ebi T, Henkel J (2007) Run-time adaptive on-chip communication scheme. In: Proceedings of IEEE/ACM international conference on computer-aided design (ICCAD’07), San Jose, California, USA, 26–31, 2007

    Google Scholar 

  4. Amory AM, Briao E, Cota E, Lubaszewski M, Moraes FG (2005) A scalable test strategy for network-on-chip routers. In: Proceedings of IEEE international test conference, Nov 2005

    Google Scholar 

  5. Angiolini F, Meloni P, Carta S, Benini L, Raffo L (2006) Contrasting a NoC and a traditional interconnect fabric with layout awareness. In: Proceedings of design, automation and test in Europe conference, March 2006

    Google Scholar 

  6. Angiolini F, Atienza D, Murali S, Benini L, De Micheli G (2006) Reliability support for on-chip memories using networks-on-chip. In: Proceedings of the international conference on computer design, Oct 2006

    Google Scholar 

  7. Ascia G, Catania V, Palesi M (2004) Multi-objective mapping for mesh-based NoC architectures. In: Proceedings of international conference on hardware-software codesign and system synthesis, Sept 2004

    Google Scholar 

  8. Bainbridge W, Furber S (2001) Delay insensitive system-on-chip interconnect using 1-of-4 data encoding. In: Proceedings of international symposium on asynchronous circuits and systems, March 2001

    Google Scholar 

  9. Balfour J, Dally WJ (2006) Design tradeoffs for tiled CMP on-chip networks. In: Proceedings of the international conference on supercomputing, June 2006

    Google Scholar 

  10. Banerjee N, Vellank P, Chatha KS (2004) A power and performance model for network-on-chip architectures. In: Proceedings of design, automation and test in Europe conference, Feb 2004

    Google Scholar 

  11. Bartic TA et al (2003) Highly scalable network on chip for reconfigurable systems. In: Proceedings of international symposium system-on-chip, Nov 2003

    Google Scholar 

  12. Beerel P, Roncken ME (Dec. 2007) Low power and energy efficient asynchronous design. J Low Power Electron 3(3):234–253

    Article  Google Scholar 

  13. Beigne E, Clermidy F, Vivet P, Clouard A, Renaudin M (2005) An asynchronous NOC architecture providing low latency service and its multi-level design framework. In: Proceedings of international symposium on asynchronous circuits and systems, May 2005

    Google Scholar 

  14. Beigne E, Clermidy F, Miermont S, Vivet P (2008) Dynamic voltage and frequency scaling architecture for units integration with a GALS NoC. In: Proceedings of IEEE international symposium on network on chip, 2008

    Google Scholar 

  15. Benini L, De Micheli G (Jan. 2002) Networks on chips: a new SoC paradigm. IEEE Comput 35(1):70–78

    Article  Google Scholar 

  16. Bertozzi D, Benini L, De Micheli G (2005) Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans Comput Aided Des Integr Circuits Syst 24(6):818–831

    Google Scholar 

  17. Bertozzi S, Acquaviva A, Bertozzi D, Poggiali A (2006) Supporting task migration in multi-processor systems-on-chip: a feasibility study. In: Proceedings of design, automation and test in Europe conference March 2006

    Google Scholar 

  18. Bhojwani P, Lee JD, Mahapatra R (2007) SAPP: scalable and adaptable peak power management in NoCs. In: Proceedings of international symposium on low power electronic devices, Aug 2007

    Google Scholar 

  19. Bienia C, Kumar S, Singh JP, Li K (2008) The PARSEC benchmark suite: characterization and architectural implications. Princeton University Technical Report TR-811-08, Jan 2008

    Google Scholar 

  20. Bjerregaard T, Sparso J (2005) A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In: Proceedings of design, automation and test in Europe conference, March 2005

    Google Scholar 

  21. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of Network-on-chip. ACM Comput Surv 38(1):1–51

    Google Scholar 

  22. Bjerregaard T, Stensgaard MB, Sparso J (2007) A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  23. Bogdan P, Dumitras T, Marculescu R (2007) Stochastic communication: a new paradigm for fault-tolerant networks-on-chip. Hindawi VLSI design, special issue on networks-on-chip, vol 2007, Hindawi Publishing Corporation

    Google Scholar 

  24. Bogdan P, Marculescu R (2010) Workload characterization and its impact on multicore platform design.In: Proceedings of 8th IEEE/ACM/IFIP international conference on hardware/software codesign and system synthesis (CODES/ISSS), 2010

    Google Scholar 

  25. Bolotin E, Cidon I, Ginosar R, Kolodny A (Feb. 2004) QNoC: QoS architecture and design process for network on chip. J Syst Architecture (EUROMICRO J) 50(2–3):105–128

    Google Scholar 

  26. Bolotin E, Cidon I, Ginosar R, Kolodny A (2007) Routing table minimization for irregular mesh NoCs. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  27. van den Brand JW, Ciordas C, Goossens K, Basten T (2007) Congestion-controlled best-effort communication for networks-on-chip. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  28. Campobello G, Castano M, Ciofi C, Mangano D (2006) GALS networks on chip: a new solution for asynchronous delay-insensitive links. In: Proceedings of design, automation and test in Europe conference, March 2006

    Google Scholar 

  29. Carloni LP, McMillan KL, Sangiovanni-Vincentelli AL (Sep. 2001) Theory of latency-insensitive design. IEEE Trans Comput Aided Des Integr Circuits Syst 20(9):1059–1076

    Article  Google Scholar 

  30. Catania V, Holsmark R, Kumar S, Palesi M (2006) A methodology for design of application specific deadlock-free routing algorithms for NoC systems. In: Proceedings of CODES-ISSS, Oct 2006

    Google Scholar 

  31. Chan J, Parameswaran S (2005) NoCEE: energy macro-model extraction methodology for network on chip routers. In: Proceedings the of international conference on computer aided design, Nov 2005

    Google Scholar 

  32. Chan SC, Shepard KL, Restle PJ (2003) Design of resonant global clock distributions. In: Proceedings of the international conference on computer design, Oct 2003

    Google Scholar 

  33. Chang MF et al (2008) CMP network-on-chip overlaid with multi-band RF-interconnect. In: Proceedings of the international symposium on high-performance computer architecture, Feb 2008

    Google Scholar 

  34. Chatterjee S, Kishinevsky M, Ogras UY (2010) Quick formal modeling of communication fabrics to enable verification. In: Proceedings of IEEE international high level design validation and test workshop, 42–49 June 2010

    Google Scholar 

  35. Chelcea T, Nowick SM (2000) A low latency fifo for mixed-clock systems. In: Proceedings of IEEE computer society workshop on VLSI, April 2000

    Google Scholar 

  36. Chen X, Peh L (2003) Leakage power modeling and optimization in interconnection networks. In: Proceedings of the international symposium on low power electronics and design, Aug 2003

    Google Scholar 

  37. Chou C-L, Ogras UY, Marculescu R (2008) Energy- and performance-aware incremental mapping for networks-on-chip with multiple voltage levels. IEEE Trans Comput Aided Des Integr Circuits Syst (TCAD) 27(10):1866–1879

    Google Scholar 

  38. Cortadella J, Kishinevsky M, Grundmann B (2006) Synthesis of synchronous elastic architectures. In: Proceedings of design, automation conference, July 2006

    Google Scholar 

  39. Coskun AK, Rosing TS, Whisnant K (2007) Temperature aware task scheduling in MPSoCs. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  40. Dally WJ, Towles B (2004) Principles and practices of interconnection networks. Morgan Kaufmann Press, San Francisco

    Google Scholar 

  41. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of design automation conference, June 2001

    Google Scholar 

  42. Dally WJ (1992) Virtual-channel flow control. IEEE Trans Parallel Distrib Syst 3(2):194–205

    Article  Google Scholar 

  43. Dielissen J, Radulescu A, Goossens K, Rijpkema E (2003) Concepts and implementation of the Philips network-on-chip. In: Proceedings of IP-based SoC design, 2003

    Google Scholar 

  44. Dobkin R, Ginosar R, Sotiriou C (2004) Data synchronization issues in GALS SoCs. In: Proceedings of international symposium on asynchronous circuits and systems, April 2004

    Google Scholar 

  45. Draper J, Ghosh J (1994) A comprehensive analytical model for wormhole routing in multicomputer systems. J Parallel Distrib Comput 23(2):202–214

    Article  Google Scholar 

  46. Duato J et al (2005) A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks. In: Proceedings of the international symposium on high-performance computer architecture, Feb 2005

    Google Scholar 

  47. Duato J, Yalamanchili S, Ni L (2002) Interconnection networks: an engineering approach. Morgan Kaufmann, San Mateo, CA

    Google Scholar 

  48. Enright-Jerger N, Peh L, Lipasti M (2008) Circuit-switched coherence. In: Proceedings of the international symposium networks-on-chips, May 2008

    Google Scholar 

  49. Enright-Jerger N, Peh L-S, Lipasti M (2008) Virtual circuit tree multicasting: a case of on-chip hardware multicast support. In: Proceedings of ISCA, June 2008

    Google Scholar 

  50. Enright-Jerger N, Peh L (2009) On-chip networks. Synthesis lecture. Morgan-Claypool Publishers

    Google Scholar 

  51. Eisley N, Peh L (2004) High-level power analysis for on-chip networks. International conference on compilers, architectures and synthesis for embedded systems, Sep 2004

    Google Scholar 

  52. Ejlali A, Al-Hashimi BM, Rosinger P, Miremadi SG (2007) Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  53. Genko N, De Micheli G, Atienza D, Mendias J, Hermida R, Catthoor F (2005) A complete network-on-chip emulation framework. In: Proceedings of design, automation and test in Europe conference, March 2005

    Google Scholar 

  54. Goldfeder CM (2005) Frequency-based code placement for embedded multiprocessors. In: Proceedings of design automation conference, July 2005

    Google Scholar 

  55. Goossens K et al (2005) A design flow for application-specific networks-on-chip with guaranteed performance to accelerate SoC design and verification. In: Proceedings of design, automation and test in Europe conference, March 2005

    Google Scholar 

  56. Gratz P, Kim C, McDonald R, Keckler SW, Burger DC (2006) Implementation and evaluation of on-chip network architectures. In: Proceedings of international conference on computer design, Oct 2006

    Google Scholar 

  57. Grecu C, Pande PP, Wang B, Ivanov A, Saleh R (2005) Methodologies and algorithms for testing switch-based NoC interconnects. In: Proceedings of international symposium on defect and fault tolerance in VLSI systems, Oct 2005

    Google Scholar 

  58. Grecu C, Ivanov A, Pande P, Jantsch A, Salminen E, Ogras UY, Marculescu R (2007) An initiative towards open network-on-chip benchmarks. NoC benchmarking white paper, 2007. http://www.ocpip.org/uploads/documents/NoC-Benchmarks-WhitePaper-15.pdf

  59. Gruian F (2001) Hard real-time scheduling for low energy using stochastic data and DVS processors. In: Proceedings of international symposium on low-power electronics and design, Aug 2001

    Google Scholar 

  60. Guerrier P, Greiner A (2000) A generic architecture for on-chip packet switched interconnections. In: Proceedings of design, automation and test in Europe conference, March 2000

    Google Scholar 

  61. Guz Z, Walter I, Bolotin E, Cidon I, Ginosar R, Kolodny A (2006) Efficient link capacity and QoS design for wormhole network-on-chip. In: Proceedings of design, automation and test in Europe conference, March 2006

    Google Scholar 

  62. Hansson A, Goossens K, Radulescu A (2007) A unified approach to mapping and routing on a network-on-chip for both best-effort and guaranteed service traffic. Hindawi VLSI Design, Hindawi Publishing Corporation

    Google Scholar 

  63. Harmanci M, Escudero N, Leblebici Y, Ienne P (2005) Quantitative modeling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip. In: Proceedings of the international symposium on circuits and systems, May 2005

    Google Scholar 

  64. Hemani A, Jantsch A, Kumar S, Postula A, Oberg J, Millberg M, Lindvist D (2000) Network on a chip: an architecture for billion transistor era. In: Proceedings of the IEEE NorChip conference, Nov 2000

    Google Scholar 

  65. Ho WH, Pinkston TM (2003) A methodology for designing efficient on-chip interconnects on well-behaved communication patterns. In: Proceedings of the international symposium on high-performance computer, architecture, Feb 2003

    Google Scholar 

  66. Hosseinabady M, Dalirsani A, Navabi Z (2007) Using the inter- and intra-switch regularity in NoC switch testing. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  67. Hu P, Kleinrock L (1997) An analytical model for wormhole routing with finite size input buffers. 15th International teletraffic congress, June 1997

    Google Scholar 

  68. Hu J, Marculescu R (2003) Energy-aware mapping for tile-based NoC architectures under performance constraints. In: Proceedings of ASP-DAC, Jan 2003

    Google Scholar 

  69. Hu J, Marculescu R (2005) Communication and task scheduling of application-specific networks-on-chip. IEE Proc comput Digital Tech 152(5):643–651

    Google Scholar 

  70. Hu J, Marculescu R (2004) DyAD—Smart routing for networks-on-chip. In: Proceedings of design automation conference, June 2004

    Google Scholar 

  71. Hu J, Marculescu R (2005) Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans Comput Aided Des Integr Circuits Syst 24(4):551–562

    Article  Google Scholar 

  72. Hu J, Ogras UY, Marculescu R (2006) System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans Comput Aided Des Integr Circuits Syst 25(12):2919–2933

    Article  Google Scholar 

  73. Hung W et al (2004) Thermal-aware IP virtualization and placement for Networks-on-Chip architecture. In: Proceedings of ICCD, 2004

    Google Scholar 

  74. Ibrahim KZ (2005) Correlation between detailed and simplified simulations in studying multiprocessor architecture. In: Proceedings of international conference on computer design, Oct 2005

    Google Scholar 

  75. Jantsch A, Lauter R, Vitkowski A (2005) Power analysis of link level and end-to-end data protection in networks on chip. In: Proceedings of the international symposium on circuits and systems, May 2005

    Google Scholar 

  76. Jantsch A, Tenhunen H (eds) (2003) Networks-on-Chip. Norwell, MA, Kluwer

    Google Scholar 

  77. Jose AP, Patounakis G, Shepard KL (2005) Near speed-of-light on-chip interconnects using pulsed current-mode signaling. In: Proceedings of symposium on VLSI Circuits, June 2005

    Google Scholar 

  78. Kim B, Stojanovic V (2007) Equalized interconnects for on-chip networks: modeling and optmization framework. International conference on computer-aided design, Nov 2007

    Google Scholar 

  79. Kim D, Kim K, Kim J, Lee S, Yoo H (2007) Solutions for real chip implementation issues of NoC and their application to memory-centric NoC. In: Proceedings of international symposium on networks-on-chips, May 2007

    Google Scholar 

  80. Kim EJ et al (2003) Energy optimization techniques in cluster interconnects. In: Proceedings of the international symposium on low power electronics and design, Aug 2003

    Google Scholar 

  81. Kim M, Kim D, Sobelman GE (2005) Adaptive scheduling for CDMA-based networks-on-chip. In: Proceedings of the IEEE northeast workshop on circuits and systems, May 2005

    Google Scholar 

  82. Kim JS, Taylor MB, Miller J, Wentzlaff D (2003) Energy characterization of a tiled architecture processor with on-chip networks. In: Proceedings of the international symposium on low power electronics and design, Aug 2003

    Google Scholar 

  83. Kim J, Nicopoulos CA, Park D, Vijaykrishnan N, Yousif MS, Das CR (2006) A gracefully degrading and energy-efficient modular router. In: Proceeings of the international symposium on computer architecture, June 2006

    Google Scholar 

  84. Kim J et al (2007) A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In: Proceedings of the international symposium on computer architecture, June 2007

    Google Scholar 

  85. Kim J, Dally WJ, Abts D (2007) Flattened butterfly: a cost-efficient topology for high-radix networks. In: Proceedings of ISCA, June 2007

    Google Scholar 

  86. Kogel T et al (2003) A modular simulation framework for architectural exploration of on-chip interconnection networks. In: Proceedings of international conference on hardware-software codesign and system, synthesis, Oct 2003

    Google Scholar 

  87. Kogel T, Leupers R, Meyr H (2006) Integrated system-level modeling of network-on-chip enabled multi-processor platforms. Springer, New York

    Google Scholar 

  88. Kumar A, Peh L, Kundu P, Jha NK (2007) Express virtual channels: Towards the ideal interconnection fabric. In: Proceedings of the international symposium on computer architecture, June 2007

    Google Scholar 

  89. Kumar R, Zyuban V, Tullsen DM (2005) Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling. In: Proceedings of the international symposium on computer architecture, June 2005

    Google Scholar 

  90. Lahiri K et al (2000) Evaluation of the traffic-performance characteristics of system-on-chip communication architectures. In: Proceedings of the international conference on VLSI design, Oct 2000

    Google Scholar 

  91. Lee HG, Chang N, Ogras UY, Marculescu R (2007) On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus and network-on-chip approaches. ACM Trans Des Autom Electron Syst 12(3):1–20

    Google Scholar 

  92. Lee K et al (2004) A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform. International Solid-State Circuits Conference, Feb 2004

    Google Scholar 

  93. Lee JW, Ng A, Asanovic K (2008) Globally-synchronized frames for guaranteed quality of service in on-chip networks. In: International symposium on computer architecture, 2008

    Google Scholar 

  94. Leung LF, Tsui CY (2006) Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip system. In: Proceedings of design automation conference, July 2006

    Google Scholar 

  95. Liang J, Laffely A, Srinivasan S, Tessier R (2004) An architecture and compiler for scalable on-chip communication. IEEE Trans Very Large Scale Integr Syst 12(7):711–726

    Article  Google Scholar 

  96. Lin T, Pileggi LT (2002) Throughput-driven IC communication fabric synthesis. In: Proceedings of the international conference on computer aided design, 2002

    Google Scholar 

  97. Liu C, Shi J, Cota E, Iyengar V (2005) Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking. In: Proceedings of VLSI test symposium, May 2005

    Google Scholar 

  98. Lu Z, Liu M, Jantsch A (2007) Layered switching for networks on chip. In: Proceedings of design automation conference, June 2007

    Google Scholar 

  99. Luo J, Jha NK (2000) Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems. In: Proceedings of international conference on computer-aided design, Nov 2000

    Google Scholar 

  100. Madsen J, Mahadevan S, Virk K, Gonzales M (2003) Network-on-chip modeling for system-level multiprocessor simulation. In: Proceedings of the IEEE international real-time systems symposium, 82–92, Dec 2003

    Google Scholar 

  101. Mahadevan S et al (2005) A network traffic generator model for fast network-on-chip simulation. In Proceedings of design, automation and test in Europe conference, March 2005

    Google Scholar 

  102. Mak TS, Sedcole P, Cheung PY, Luk W, Lam KP (2007) A hybrid analog-digital routing network for NoC dynamic routing. In: Proceedings of the international symposium on networks-on-chip, May 2007

    Google Scholar 

  103. Manolache S, Eles P, Peng Z (2005) Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC. In: Proceedings design automation conference, July 2005

    Google Scholar 

  104. Marescaux T, Corporaal H (2007) Introducing the superGT network-on-chip. In: Proceedings of design automation conference, June 2007

    Google Scholar 

  105. Marculescu R, Ogras UY, Peh L, Jerger NE, Hoskote Y (2009) Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives. IEEE Trans Comput Aided Des Integr Circuits Syst 28(1):3–21

    Article  Google Scholar 

  106. Martin S, Flautner K, Mudge T, Blaauw D (2002) Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In: Proceedings of international conference on computer aided design, Nov 2002

    Google Scholar 

  107. De Micheli G, Benini L (eds) (2006) Networks on chips: technology and tools (systems on silicon). Morgan Kaufmann, San Francisco

    Google Scholar 

  108. Millberg M, Nilsson E, Thid R, Jantsch A (2004) Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip. In: Proceedings of design, automation and test in Europe conference, Feb 2004

    Google Scholar 

  109. Mishra R et al (2003) Energy aware scheduling for distributed real-time systems. International parallel and distributed processing symposium, April 2003

    Google Scholar 

  110. Miskov-Zivanov N, Marculescu D (2010) Multiple transient faults in combinational and sequential circuits: a systematic approach. IEEE Trans CAD Integr Cir Syst 29(10):1614–1627

    Google Scholar 

  111. Mullins R, West A, Moore S (2004) Low-latency virtual-channel routers for on-chip networks. In: Proceedings of international symposium on computer architecture, June 2004

    Google Scholar 

  112. Murali S et al (2006) Designing application-specific networks on chips with floorplan information. In: Proceedings of ICCAD, Nov 2006

    Google Scholar 

  113. Murali S, De Micheli G (2004) Bandwidth-constrained mapping of cores onto NoC architectures. In: Proceedings of design, automation and test in Europe conference, Feb 2004

    Google Scholar 

  114. Murali S, Atienza D, Benini L, De Micheli G (2007) A method for routing packets across multiple paths in NoCs with in-order delivery and fault-tolerance guarantees. Hindawi VLSI Des 2007:11

    Google Scholar 

  115. Murali S et al (2005) Analysis of error recovery schemes for networks on chip. IEEE design and test of computers, 2005

    Google Scholar 

  116. Murali S, Coenen M, Radulescu A, Goossens K, De Micheli G (2006) A methodology for mapping multiple use-cases onto networks on chips. In: Proceedings of design automation and test in Europe conference, March 2006

    Google Scholar 

  117. Nicopoulos CA et al (2006) ViChaR: a dynamic virtual channel regulator for network-on-chip routers. In: Proceedings of the international symposium on microarchitecture, Dec 2006

    Google Scholar 

  118. Nigussie E, Lehtonen T, Tuuna S, Plosila J, Isoaho J (2007) High-performance long NoC link using delay-insensitive current-mode signaling. Hindawi VLSI Des (special issue on networks-on-chip) 2007:1–13

    Google Scholar 

  119. Nilsson E, Millberg M, Oberg J, Jantsch A (2003) Load distribution with the proximity congestion awareness in a network on chip. In: Proceedings of design, automation and test in Europe conference, March 2003

    Google Scholar 

  120. Connor IO, Gaffiot F (2004) Advanced research in on-chip optical interconnects. In: Piguet C (ed) Lower Power electronics and design, CRC Press

    Google Scholar 

  121. OCP International Partnership, http://www.ocpip.org/university_research_bibliography.php

  122. Ogras UY, Marculescu R (2005) Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach. In: Proceedings of design, automation and test in Europe conference, March 2005

    Google Scholar 

  123. Ogras UY, Marculescu R (2006) It’s a small world after all”: NoC performance optimization via long-range link insertion. IEEE Trans Very Large Scale Integr Syst Spec Sect Hardw Softw Codesign Syst Synth 14(7):693–706

    Google Scholar 

  124. Ogras UY, Marculescu R (2006) Prediction-based flow control for network-on-chip traffic. In: Proceedings of design automation conference, July 2006

    Google Scholar 

  125. Ogras UY, Marculescu R (2006) Communication-based design for nanoscale SoCs. In: Chen W-K (ed) VLSI handbook, 2nd edn. CRC Book Press

    Google Scholar 

  126. Ogras UY, Marculescu R, Marculescu D, Jung EG (2009) Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Trans Very Large Scale Integr Syst 17(3):330–341

    Google Scholar 

  127. On-Chip Networks Bibliography, http://www.cl.cam.ac.uk/~rdm34/onChipNetBib/browser.htm

  128. Ost L, Mello A, Palma J, Moraes F, Calazans N (2005) MAIA: a framework for networks on chip generation and verification. In: Proceedings of Asia South Pacific design automation conference, Jan 2005

    Google Scholar 

  129. Palermo G, Silvano C (2004) PIRATE: a framework for power/performance exploration of network-on-chip architectures. In: Proceedings of international workshop on power and timing modeling, optimization and simulation, Sept 2004

    Google Scholar 

  130. Pamunuwa D, Öberg J, Zheng LR, Millberg M, Jantsch A, Tenhunen H (2003) Layout, performance and power trade-offs in mesh-based network-on-chip architectures. In: IFIP international conference on very large scale integration, Dec 2003

    Google Scholar 

  131. Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (Aug. 2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8):1025–1040

    Article  Google Scholar 

  132. Ganguly A et al (2010) Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans Comput 60(10):1485–1502

    Article  MathSciNet  Google Scholar 

  133. Patel CS, Chai SM, Yalamanchili S, Schimmel DE (1997) Power constrained design of multiprocessor interconnection networks. In: Proceedings of the international conference on computer design, Oct 1997

    Google Scholar 

  134. Peh L, Dally WJ (2000) Flit-reservation flow control. In: Proceedings of the international symposium on high-performance computer architecture, Jan 2000

    Google Scholar 

  135. Peh L, Dally WJ (2001) A delay model for router micro-architectures. IEEE Micro

    Google Scholar 

  136. Pham D et al (2005) The design and implementation of a first-generation CELL processor. In: Proceedings of the solid-state circuits conference, Feb 2005

    Google Scholar 

  137. Pinto A, Carloni LP, Sangiovanni-Vincentelli AL (2003) Efficient synthesis of networks on chip. In: Proceedings of international conference on computer design , Oct 2003

    Google Scholar 

  138. Pirretti M, Link GM, Brooks RR, Vijaykrishnan N, Kandemir M, Irwin MJ, (2004) Fault tolerant algorithms for network-on-chip interconnect. In: Proceedings of IEEE symposium on VLSI, Feb 2004

    Google Scholar 

  139. Pop P et al (2001) An approach to incremental design of distributed embedded systems. In: Proceedings of design automation conference, June 2001

    Google Scholar 

  140. Poplavko P, Basten T, Bekooij M, van Meerbergen J, Mesman B (2003) Task-level timing models for guaranteed performance in multiprocessor networks-on-chip. In: Proceedings of the international conference on compilers, architecture and synthesis for embedded systems, 2003

    Google Scholar 

  141. Puente V, Gregorio JA, Vallejo F, Beivide R (2004) Immunet: a cheap and robust fault-tolerant packet routing mechanism. In: Proceedings of the international symposium on computer, architecture, June 2004

    Google Scholar 

  142. Pullini A, Angiolini F, Bertozzi D, Benini L (2005) Fault tolerance overhead in network-on-chip flow control schemes. In: Proceedings of symposium on integrated circuits and system design, Sep 2005

    Google Scholar 

  143. Saastamoinen I, Alho M, Nurmi J (2003) Buffer implementation for proteo network-on-chip. In: Proceedings of international symposium on circuits and systems, May 2003

    Google Scholar 

  144. Salaun G, Serwe W, Thonnart Y, Vivet P (2007) Formal verification of CHP specifications with CADP illustration on an asynchronous network-on-chip. In: Proceedings of the IEEE international symposium on asynchronous circuits and systems, 2007

    Google Scholar 

  145. Scherrer A, Fraboulet A, Risset T (2006) Automatic phase detection for stochastic on-chip traffic generation. In: Proceedings International Conference on Hardware-Software Codesign, Oct 2006, pp 88–93

    Google Scholar 

  146. Schmitz MT, Al-Hashimi BM, Eles P (2004) Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Trans Embedded Comput Syst 3(1):182–217. doi:10.1145/972627.972636

    Google Scholar 

  147. Seo D, Ali A, Lim W, Rafique N, Thottethodi M (2005) Near-optimal worst-case throughput routing for two-dimensional mesh networks. In: Proceedings of the international symposium on computer, architecture, June 2005

    Google Scholar 

  148. Sgroi M et al (2001) Addressing the system-on-a-chip interconnect woes through communication-based design. In: Proceedings of design automation conference, June 2001

    Google Scholar 

  149. Shacham A, Bergman K, Carloni LP (2007) The case for low-power photonic networks-on-chip. In: Proceedings of design automation conference, June 2007

    Google Scholar 

  150. Shang L, Peh L, Jha NK (2003) Dynamic voltage scaling with links for power optimization of interconnection networks. In: Proceedings of the international symposium on high-performance computer, architecture, Jan 2003

    Google Scholar 

  151. Shang L, Peh L, Kumar A, Jha N K (2004) Thermal modeling, characterization and management of on-chip networks. In: Proceedings of international symposium on microarchitecture, Dec 2004

    Google Scholar 

  152. Sheibanyrad A, Panades IM, Greiner A (2007) Systematic comparison between the asynchronous and the multi-synchronous implementations of a network-on-chip architecture. In: Proceedings of design, automation and test in Europe conference, April 2007

    Google Scholar 

  153. Shibayama A, Nose K, Torii S, Mizuno M, Edahiro M (2007) Skew-tolerant global synchronization based on periodically all-in-phase clocking for multi-core soc platforms. In: Proceedings of symposium on VLSI circuits, June 2007

    Google Scholar 

  154. Shim B, Shanbhag NR (2006) Energy-efficient soft-error tolerant digital signal processing. IEEE Trans VLSI 14(4):336–348

    Article  Google Scholar 

  155. Shin D, Kim J (2004) Power-aware communication optimization for networks-on-chips with voltage scalable links. In: Proceedings of international conference on hardware/software codesign and system synthesis, Sept 2004

    Google Scholar 

  156. Shin D, Kim J, Lee S (2001) Intra-task voltage scheduling for low-energy, hard real-time applications. IEEE Des Test 18(2):20–30

    Google Scholar 

  157. Shivakumar P, Kistler M, Keckler S, Burger D, Alvisi L (2002) Modeling the effect of technology trends on soft error rate of combinational logic. In: Proceedings of the international conference on dependable systems and networks, June 2002

    Google Scholar 

  158. Simunic T, Boyd S (2002) Managing power consumption in networks on chip. In: Proceedings of design, automation and test in Europe conference, March 2002

    Google Scholar 

  159. Simunic Rosing T, Mihic K, De Micheli G (2007) Power and reliability management of SOCs. IEEE Trans on VLSI 15:391–403

    Google Scholar 

  160. Soteriou V, Wang H-S, Peh L (2006) A statistical traffic model for on-chip interconnection networks. In: Proceedings of the international symposium on modeling, analysis and simulation of computer and telecommunication systems, Sept 2006

    Google Scholar 

  161. Soteriou V, Peh L (2004) Design space exploration of power-aware on/off interconnection networks. In: Proceedings of the ICCD, Oct 2004

    Google Scholar 

  162. Srinivasan K, Chatha KS (2005) A technique for low energy mapping and routing in network-on-chip architectures. In: Proceedings of the international symposium on low power electronics and design, Aug 2005

    Google Scholar 

  163. Srinivasan K, Chatha KS (2006) A low complexity heuristic for design of custom network-on-chip architectures. In: Proceedings of design, automation and test in Europe conference, March 2006

    Google Scholar 

  164. Srinivasan K, Chatha KS, Konjevod G (2006) Linear programming based techniques for synthesis of network-on-chip architectures. IEEE Trans on Very Large Scale Integr Syst 14(4):407–420

    Article  Google Scholar 

  165. Stuijk S, Basten T, Geilen M, Ghamarian AH, Theelen B (2008) Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip. J Syst Architect (the EUROMICRO Journal) 54(3–4):411–426

    Google Scholar 

  166. Sun C, Shang L, Dick RP (2007) Three-dimensional multi-processor system-on-chip thermal optimization. In: Proceedings of international conference on hardware/software codesign and system synthesis, Oct 2007

    Google Scholar 

  167. Taylor MB et al (2002) The Raw microprocessor: A computational fabric for software circuits and general purpose programs. IEEE Micro

    Google Scholar 

  168. Taylor MB, Lee W, Amarasinghe S, Agarwal A (2005) Scalar operand networks. IEEE Trans Parallel Distrib Syst (special issue on on-chip networks) 16(2):145–162

    Google Scholar 

  169. Towles B, Dally WJ (2002) Worst-case traffic for oblivious routing functions. ACM Symp Parallel Algori Architect

    Google Scholar 

  170. Vangal S et al (2007) An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS. In: Proceedings of solid-state circuits conference, Feb 2007

    Google Scholar 

  171. Varatkar G, Marculescu R (2004) On-chip traffic modeling and synthesis for MPEG-2 video applications. IEEE Trans VLSI 12(1):108–119

    Article  Google Scholar 

  172. Wang H, Peh L, Malik S (2003) Power-driven design of router microarchitectures in on-chip networks. In: Proceedings of the international symposium on microarchitecture, Nov 2003

    Google Scholar 

  173. Wang H, Zhu X, Peh L, Malik S (2002) Orion: a power-performance simulator for interconnection networks. In: Proceedings of annual international symposium on microarchitecture, Nov 2002

    Google Scholar 

  174. Wolkotte PT, Smit GJM, Kavaldjiev N, Becker JE, Becker J (2005) Energy model of networks-on-chip and bus. In: Proceedings of the international symposium on system-on-chip, Nov 2005

    Google Scholar 

  175. F. Worm, P. Ienne, P. Thiran, G. D. Micheli, “A robust selfcalibrating transmission scheme for on-chip networks. IEEE Trans on Very Large Scale Integr Syst 12(12):1360–1373

    Google Scholar 

  176. Xie Y, Wolf W (2001) Allocation and scheduling of conditional task graph in hardware/software co-synthesis. In: Proceedings of design, automation and test in Europe conference, March 2001

    Google Scholar 

  177. Yan S, Lin B (2008) Design of application-specific 3D networks-on-chip architectures. In: Proceedings of ICCD, 2008

    Google Scholar 

  178. Yu Z, Baas B (2006) Implementing tile-based chip multiprocessors with GALS clocking styles. In: Proceedings of the international conference on computer design, Oct 2006

    Google Scholar 

  179. Zhao D, Wang Y (2008) SD-MAC: design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless Network-on-Chip. IEEE Trans Comput (TC) 8:1046–1057

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Umit Y. Ogras .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Ogras, U.Y., Marculescu, R. (2013). Literature Survey. In: Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures. Lecture Notes in Electrical Engineering, vol 184. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-3958-1_2

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-3958-1_2

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-3957-4

  • Online ISBN: 978-94-007-3958-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics