Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 82))

  • 542 Accesses

Abstract

Modern societies have been deeply reshaped by a sequence of industrial revolutions. Some of them were completed and they represent today a chapter of history, while some others are still evolving and generating more changes in the societies. One fundamental aspect that characterizes modern societies is the increasing level of usage of electronic systems that are partly becoming indispensable for some daily activities. Conversely, the daily activities are reshaped according to the new systems uninterruptedly coming to the market. This tight link between the needs of modern societies and the electronics system represents one of the latest revolutions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International technology roadmap for semiconductors (ITRS) (2007) http://www.itrs.net/reports.html. Tech. Rep., 2007

  2. Cerofolini G (2007) Realistic limits to computation. II. The technological side. Appl Phys A 86(1):31–42

    Article  Google Scholar 

  3. Lilienfeld JE (1925) Method and apparatus for controlling electric current. US Patent No. 1745175

    Google Scholar 

  4. Bardeen J, Brattain WH (1948) Three-electrode circuit element utilizing semiconductor materials. US Patent No. 2524035

    Google Scholar 

  5. Shockley W (1948) Circuit element utilizing semiconductive material. US Patent No. 2569347

    Google Scholar 

  6. Kahng D (1960) Electric field controlled semiconductor device. US Patent No. 2524035

    Google Scholar 

  7. Moore GE (1965) Cramming more components onto integrated circuits. Electron Mag 38:114–117

    Google Scholar 

  8. Skotnicki T (2006) CMOS technologies for end of roadmap. Course at Ecole Polytechnique Fèdérale de Lausanne, Switzerland

    Google Scholar 

  9. Dennard RH, Gaensslen FH, Yu HN, Rideout VL, Bassous E, Leblanc AR (1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid-State Circuits 9(5):256–268

    Article  Google Scholar 

  10. Wang J, Wong AKK, Lam EY (2004) Performance optimization for gridded-layout standard cells. Proc SPIE 5567(1):107–118

    Article  Google Scholar 

  11. Lavin M, Heng FL, Northrop G (2004) Backend CAD flows for restrictive design rules. In: Proceedings of the International Conference on Computer-Aided Design, pp 739–746

    Google Scholar 

  12. Calhoun B, Cao Y, Li X, Mai K, Pileggi L, Rutenbar R, Shepard K (2008) Digital circuit design challenges and opportunities in the era of nanoscale CMOS. Proc IEEE 96(2):343–365

    Article  Google Scholar 

  13. Liebmann LW, Barish AE, Baum Z, Bonges HA, Bukofsky SJ, Fonseca CA, Halle SD, Northrop GA, Runyon SL, Sigal L (2004) High-performance circuit design for the RET-enabled 65-nm technology node. In: Proceedings of SPIE Design and Process Integration for Microelectronics Manufacturing II, vol 5379, no 1, pp 20–29

    Google Scholar 

  14. Masetti G, Severi M, Solmi S (1983) Modeling of carrier mobility against carrier concentration in arsenic-, phosphorus-, and boron-doped silicon. IEEE Trans Electron Devices 30(7):764–769

    Article  Google Scholar 

  15. Lombardi C, Manzini S, Saporito A, Vanzi M (1988) A physically based mobility model for numerical simulation of nonplanar devices. IEEE Trans Computer-Aided Des Integr Circuits Syst 7(11):1164–1171

    Article  Google Scholar 

  16. Ernst T, Andrieu F, Weber O, Duprt C, Faynot O, Ducroquet F, Clavelier L, Hartmann J, Barraud S, Ghibaudo G, Deleonibus S (2006) High-mobility nano-scaled CMOS: some opportunities and challenges. In: 1990 Symposium of the VLSI Technology, pp 1–2

    Google Scholar 

  17. Hoffmann T, Doorribos G, Ferain I, Collaert N, Zimmerman P, Goodwin M, Rooyackers R, Kottantharayil A, Yim Y, Dixit A, De Meyer K, Jurczak M, Biesemans S (2005) GIDL (gate-induced drain leakage) and parasitic schottky barrier leakage elimination in aggressively scaled HfO2/TiN FinFET devices. In: Technical Digest—International Electron Devices Meeting, IEDM, pp 725–728

    Google Scholar 

  18. Hori T (1990) Drain-structure design for reduced band-to-band and band-todefect tunneling leakage. pp 69–70

    Google Scholar 

  19. Watanabe H, Matsuzawa K, Takagi S (2003) Scaling effects on gate leakage current. IEEE Trans Electron Devices 50(8):1779–1784

    Article  Google Scholar 

  20. Pott V (2008) Gate-all-around silicon nanowires for hybrid single electron transistor/CMOS applications. PhD dissertation, Lausanne. http://library.ep.ch/theses/?nr=3983

  21. Osburn CM, Bellur KR (1998) Low parasitic resistance contacts for scaled ULSI devices. Thin Solid Films 332(1–2):428–436

    Google Scholar 

  22. Asenov A, Brown AR, Davies JH, Kaya S, Slavcheva G (2003) Simulation of intrinsic parameter uctuations in decananometer and nanometer-scale MOSFETs. IEEE Trans Electron Devices 50:1837–1852

    Article  Google Scholar 

  23. Jalabert A, Murali S, Benini L, De Micheli G (2008) Design, automation, and test in Europe: the most in uential papers of 10 years DATE. Springer, Heidelberg

    Google Scholar 

  24. Arnold MS, Green AA, Hulvat JF, Stupp SI, Hersam MC (2006) Sorting carbon nanotubes by electronic structure using density differentiation. Nat Nanotechnol 1:60–65

    Article  Google Scholar 

  25. Zhang G, Qi P, Wang X, Lu Y, Li X, Tu R, Bangsaruntip S, Mann D, Zhang L, Dai H (2006) Selective etching of metallic carbon nanotubes by gas-phase reaction. Science 314(5801):974–977

    Article  Google Scholar 

  26. Patil N, Lin A, Myers E, Wong HS, Mitra S (2008) Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures. In: 2008 Symposium of the VLSI Technology, pp 205–206

    Google Scholar 

  27. Liu J, O’Connor I, Navarro D, Gaffiot F (2007) Novel CNTFET-based reconfigurable logic gate design. In: Annual ACM IEEE Design Automation Conference, pp 276–277

    Google Scholar 

  28. Lin YM, Appenzeller J, Avouris P (2004) Novel carbon nanotube FET design with tunable polarity. In: IEEE International Electron Devices Meeting 2004. IEDM Technical Digest, pp 687–690

    Google Scholar 

  29. Lin YM, Appenzeller J, Knoch J, Avouris P (2005) High-performance carbon nanotube field-effect transistor with tunable polarities. IEEE Trans Nanotechnol 4(5):481–489

    Article  Google Scholar 

  30. Kang SJ et al (2007) High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. Nat Nanotechnol 2(4):230–236

    Article  Google Scholar 

  31. Gudiksen MS, Lauhon LJ, Wang J, Smith DC, Lieber CM (2002) Growth of nanowire superlattice structures for nanoscale photonics and electronics. Nature 415:617–620

    Article  Google Scholar 

  32. Yang C, Zhong Z, Lieber CM (2005) Encoding electronic properties by synthesis of axial modulation-doped silicon nanowires. Science 310(5752):1304–1307

    Article  Google Scholar 

  33. Lauhon LJ, Gudiksen MS, Wang D, Lieber CM (2002) Epitaxial core-shell and core-multishell nanowire heterostructures. Nature 420:57–61

    Article  Google Scholar 

  34. Huang Y, Duan X, Wei Q, Lieber CM (2001) Directed assembly of one-dimensional nanostructures into functional networks. Science 291(5504):630–633

    Article  Google Scholar 

  35. Chen Y, Ohlberg DAA, Li X, Stewart DR, Stanley Williams R, Jeppesen JO, Nielsen KA, Stoddart JF, Olynick DL, Anderson E (2003) Nanoscale molecular-switch devices fabricated by imprint lithography. Appl Phys Lett 82:1610–1612

    Article  Google Scholar 

  36. Wu W, Jung GY, Olynick DL, Straznicky J, Li Z, Li X, Ohlberg DAA, Chen Y, Wang SY, Liddle JA, Tong WM, Williams RS (2005) One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography. Appl Phys A Mater Sci Process 80(6):1173–1178

    Article  Google Scholar 

  37. Melosh NA, Boukai A, Diana F, Gerardot B, Badolato A, Petroff PM, Heath JR (2003) Ultrahigh-density nanowire lattices and circuits. Science 300(5616):112–115

    Article  Google Scholar 

  38. Sacchetto D, Ben-Jamaa MH, De Micheli G, Leblebici Y (2009) Fabrication and characterization of vertically stacked gate-all-around Si nanowire FET arrays. In: ESSDERC 2009

    Google Scholar 

  39. Doherty L, Liu H, Milanovic V (2003) Application of MEMS technologies to nanodevices. In: ISCAS’03. Proceedings of the 2003 International Symposium on Circuits and systems, vol 3, pp III–934–III–937

    Google Scholar 

  40. Ng RMY, Wang T, Chan M (2007) A new approach to fabricate vertically stacked single-crystalline silicon nanowires. pp 133–136

    Google Scholar 

  41. Moselund KE, Bouvet D, Ben Jamaa HH, Atienza D, Leblebici Y, De Micheli G, Ionescu AM (2008) Prospects for logic-on-a-wire. Microelectron Eng 85:1406–1409

    Article  Google Scholar 

  42. Datta S (2007) III-V field-effect transistors for low power digital logic applications. Microelectron Eng 84(9–10):2133–2137

    Article  Google Scholar 

  43. Inokawa H, Fujiwara A, Takahashi Y (2003) A multiple-valued logic and memory with combined single-electron and metal-oxide-semiconductor transistors. IEEE Trans Electron Devices 50(2):462–470

    Article  Google Scholar 

  44. Saitoh M, Harata H, Hiramoto T (2004) Room-temperature demonstration of integrated silicon single-electron transistor circuits for current switching and analog pattern matching. pp 187–190

    Google Scholar 

  45. Bandyopadhyay S, Roychowdhury V (1996) Computational paradigms in nanoelectronics: quantum-coupled single electron logic and neuromorphic networks. Jpn J Appl Phys 35:3350–3362

    Article  Google Scholar 

  46. Stewart DR, Ohlberg DAA, Beck PA, Chen Y, Williams RS, Jeppesen JO, Nielsen KA, Stoddart JF (2004) Moleculeindependent electrical switching in Pt/organic monolayer/Ti devices. Nano Lett 4(1):133–136

    Article  Google Scholar 

  47. Rotenberg E, Venkatesan R (2006) The state of ZettaRAM. In: 1st IEEE International Conference on Nano-Networks, pp 1–5

    Google Scholar 

  48. Akkerman HB, Blom PWM, de Leeuw DM, de Boer B (2006) Towards molecular electronics with large-area molecular junctions. Nature 441:69–72

    Article  Google Scholar 

  49. Dichtel WR, Heath JR, Fraser Stoddart J (2007) Designing bistable [2]rotaxanes for molecular electronic devices. Royal Soc Lond Philos Trans Ser A 365:1607–1625

    Article  Google Scholar 

  50. Strukov DB, Likharev KK (2005) CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotechnology 16:888–900

    Article  Google Scholar 

  51. Snider GS, Williams RS (2007) Nano/CMOS architectures using a field-programmable nanowire interconnect. Nanotechnology 18(3):035 204–035 215

    Article  Google Scholar 

  52. Strukov DB, Likharev KK (2007) Defect-tolerant architectures for nanoelectronic crossbar memories. J Nanosci Nanotechnol 7(1):151–167

    Google Scholar 

  53. Lee JH, Likharev KK (2007) Defect-tolerant nanoelectronic pattern classifiers. Int J Circuit Theory Appl 35(3):239–264

    Article  Google Scholar 

  54. Bandyopadhyay S, Das B, Miller AE (1994) Supercomputing with spin-polarized single electrons in a quantum coupled architecture. Nanotechnology 5:113–133

    Article  Google Scholar 

  55. Imre A, Csaba G, Ji L, Orlov A, Bernstein GH, Porod W (2006) Majority logic gate for magnetic quantum-dot cellular automata. Science 311:205–208

    Article  Google Scholar 

  56. Schmid A, Leblebici Y (2004) Robust circuit and system design methodologies for nanometer-scale devices and single-electron transistors. IEEE Trans Very Larg Scale Integr (VLSI) Syst 12(11):1156–1166

    Article  Google Scholar 

  57. Schmid A, Leblebici Y (2003) A modular approach for reliable nanoelectronic and very-deep submicron circuit design based on analog neural network principles. vol 2, pp 647–650

    Google Scholar 

  58. Nagahara LA, Amlani I, Lewenstein J, Tsui RK (2002) Directed placement of suspended carbon nanotubes for nanometer-scale assembly. Appl Phys Lett 80(20):3826–3828

    Article  Google Scholar 

  59. Williams PA, Papadakis SJ, Falvo MR, Patel AM, Sinclair M, Seeger A, Helser A, Taylor RM II, Washburn S, Superfine R (2002) Controlled placement of an individual carbon nanotube onto a microelectromechanical structure. Appl Phys Lett 80(14):2574–2576

    Article  Google Scholar 

  60. Vangal S, Howard J, Ruhl G, Dighe S, Wilson H, Tschanz J, Finan D, Iyer P, Singh A, Jacob T, Jain S, Venkataraman S, Hoskote Y, Borkar N (2007) An 80-tile 1.28-TFLOPS network-on-chip in 65nm CMOS. In: 1st IEEE International Conference on Nano-Networks, pp 98–589

    Google Scholar 

  61. Taylor M, Psota J, Saraf A, Shnidman N, Strumpen V, Frank M, Amarasinghe S, Agarwal A, Lee W, Miller J, Wentzlaff D, Bratt I, Greenwald B, Hoffmann H, Johnson P, Kim J (2004) Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams. In: Proceedings of the 31st Annual International Symposium on Computer Architecture, pp 2–13

    Google Scholar 

  62. Tong K, Kheterpal V, Rovner V, Pileggi L, Schmit H (2003) Regular logic fabrics for a via patterned gate array (VPGA). In: Proceeding of the Custom. Integrated Circuits Conference, pp 53–56

    Google Scholar 

  63. Pileggi L, Schmit H, Strojwas AJ, Gopalakrishnan P, Kheterpal V, Koorapaty A, Patel C, Rovner V, Tong KY (2003) Exploring regular fabrics to optimize the performance-cost trade-off. In: DAC’03: Proceedings of the 40th conference on Design automation, pp 782–787

    Google Scholar 

  64. Culbertson W, Amerson R, Carter R, Kuekes P, Snider G (1997) Defect tolerance on the Teramac custom computer. In: Proceedings of the 1997 IEEE Symposium on FPGA’s for Custom Computing Machines, pp 116–123

    Google Scholar 

  65. Heath JR, Kuekes PJ, Snider GS, Williams RS (1998) A Defecttolerant computer architecture: opportunities for nanotechnology. Science 280(5370):1716–1721

    Article  Google Scholar 

  66. Luo Y, Collier CP, Jeppesen JO, Nielsen KA, DeIonno E, Ho G, Perkins J, Tseng HR, Yamamoto T, Stoddart JF, Heath JR (2002) Two-dimensional molecular electronics circuits. J Chem Phys Phys Chem 3:519–525

    Article  Google Scholar 

  67. Green JE, Wook Choi v, Boukai A, Bunimovich Y, Johnston- Halperin E, Deionno E, Luo Y, Sheriff BA, Xu K, Shik Shin Y, Tseng v, Stoddart JF, Heath JR (2007) A 160-kilobit molecular electronic memory patterned at 1011 bits per square centimetre. Nature 445:414–417

    Article  Google Scholar 

  68. Goldstein SC, Budiu M (2001) NanoFabrics: spatial computing using molecular electronics. In: Proceedings of the 28th Annual International Symposium on Computer Architecture, pp 178–189

    Google Scholar 

  69. Goldstein S, Rosewater D (2002) Digital logic using molecular electronics. vol 1, pp 204–459

    Google Scholar 

  70. DeHon A, Likharev KK (2005) Hybrid CMOS/nanoelectronic digital circuits: devices, architectures, and design automation. In: ICCAD’ 05: Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, pp 375–382

    Google Scholar 

  71. Likharev KK (2007) Hybrid semiconductor/nanoelectronic circuits: freeing advanced lithography from the alignment accuracy burden. J Vac Sci Technol B Microelectron Nanometer Struct 25:2531–2536

    Article  Google Scholar 

  72. Tüurel O, Lee JH, Ma X, Likharev KK (2004) Neuromorphic architectures for nanoelectronic circuits. Int J Circuit Theory Appl 32(5):277–302

    Article  Google Scholar 

  73. Close GF, Yasuda S, Paul B, Fujita S, Wong HSP (2008) A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors. Nano Lett 8(2):706–709

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to M. Haykel Ben Jamaa .

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Ben Jamaa, M.H. (2011). Introduction. In: Regular Nanofabrics in Emerging Technologies. Lecture Notes in Electrical Engineering, vol 82. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-0650-7_1

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-0650-7_1

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-0649-1

  • Online ISBN: 978-94-007-0650-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics