Skip to main content

Models for Power-Aware Testing

  • Chapter
  • First Online:
Book cover Models in Hardware Testing

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 43))

Abstract

Power consumption of circuits and systems receives more and more attention. In test mode, power consumption is even more critical than in system model and has severe impact on reliability, yield and test costs. This chapter describes the different types and sources of test power. Power-aware techniques for test pattern generation, design for test and test data compression are presented which allow efficient power constrained testing with minimized hardware cost and test application time.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • Altet J, Rubio A (2002) Thermal testing of integrated circuits. Springer Science, New York

    Google Scholar 

  • Al-Yamani A, Chmelar E, Grinchuck M (May 2005) Segmented addressable scan architecture. In Proceedings of VLSI test symposium, pp 405–411

    Google Scholar 

  • Arabi K, Saleh R, Meng X (May–Jun 2007) Power supply noise in SoCs: metrics, management, and measurement. IEEE Des Test Comput 24(3)

    Google Scholar 

  • Athas WC, Svensson LJ, Koller JG, Tzartzanis N, Chin Chou EG (Dec 1994) Low-power digital systems based on adiabatic-switching principles. IEEE Trans VLSI Sys 2(4):398–416

    Article  Google Scholar 

  • Badereddine N, Wang Z, Girard P, Chakrabarty K, Virazel A, Pravossoudovitch S, Landrault C (Aug 2008) A selective scan slice encoding technique for test data volume and test power reduction. JETTA J Electron Test – Theory Appl 24(4):353–364

    Google Scholar 

  • Baik DH, Saluja KK (Oct 2005) Progressive random access scan: a simultaneous solution to test power, test data volume and test time. In Proceedings of international test conference. Paper 15.2

    Google Scholar 

  • Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (Nov 2001) A gated clock scheme for low power scan testing of logic ics or embedded cores. In Proceedings of Asian Test Symposium, pp 253–258

    Google Scholar 

  • Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (Oct 2003) Efficient scan chain design for power minimization during scan testing under routing constraint. In Proceedings of international test conference, pp 488–493

    Google Scholar 

  • Borkar SY, Dubey P, Kahn KC, Kuck DJ, Mulder H, Pawlowski SP, Rattner JR (2005) Platform 2015: Intel processor and platform evolution for the next decade. In Intel White Paper Platform 2015

    Google Scholar 

  • Butler KM, Saxena J, Fryars T, Hetherington G, Jain A, Lewis J (Oct 2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques. In Proceedings of international test conference, pp 355–364

    Google Scholar 

  • Chandra A, Chakrabarty K (Jun 2001) Combining low-power scan testing and test data compression for system-on-a-chip. In Proceedings of design automation conference, pp 166–169

    Google Scholar 

  • Chandra A, Chakrabarty K (Jun 2002) Reduction of SOC test data volume, scan power and testing time using alternating run-length codes. In Proceedings of design automation conference, pp 673–678

    Google Scholar 

  • Chang YS, Gupta SK, Breuer MA (Apr 1997) Analysis of ground bounce in deep sub-micron circuits. In Proceedings of VLSI test symposium, pp 110–116

    Google Scholar 

  • Cirit MA (Nov 1987) Estimating dynamic power consumption of CMOS circuits. In Proceedings of international conference on computer-aided design, pp 534–537

    Google Scholar 

  • Czysz D, Tyszer J, Mrugalski G, Rajski J (May 2007) Low power embedded deterministic test. In Proceedings of VLSI test symposium, pp 75–83

    Google Scholar 

  • Gerstendörfer S, Wunderlich HJ (Sep 1999) Minimized power consumption for scan-based BIST. In Proceedings of international test conference, pp 77–84

    Google Scholar 

  • Girard P, Guiller L, Landrault C, Pravossoudovitch S, Figueras J, Manich S, Teixeira P, Santos M (1999) Low energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity. In Proceedings of international symposium on circuits and systems, CD-ROM

    Google Scholar 

  • Girard P, Guiller L, Landrault C, Pravossoudovitch S, Wunderlich HJ (May 2001) A modified clock scheme for a low power BIST test pattern generator. In Proceedings of VLSI test symposium, pp 306–311

    Google Scholar 

  • Girard P (May–Jun 2002) Survey of low-power testing of VLSI circuits. IEEE Des Test Comput 19(3):82–92

    Google Scholar 

  • Girard P, Wen X, Touba NA (2007) Low-power testing. In: Wang LT, Stroud CE, Touba NA (eds) System-on-chip test architectures: nanometer design for testability. Morgan Kaufmann Publishers, pp 307–350

    Google Scholar 

  • Hertwig A, Wunderlich HJ (May 1998) Low power serial built-in self-test. In Proceedings of European test workshop, pp 49–53

    Google Scholar 

  • Huang T-C, Lee K-J (1989) A token scan architecture for low power testing. In Proceedings of international test conference, pp 660–669

    Google Scholar 

  • Johnson DS, Aragon C, McGeoch L, Schevon C (1989) Optimisation by simulated annealing : an experimental evaluation; part I, graph partitioning. Oper Res 37(865–892)

    Article  MATH  Google Scholar 

  • Lee K-J, Huang T-C, Chen J-J (Dec 2000) Peak-power reduction for multiple-scan circuits during test application. In Proceedings of Asian test symposium, pp 453–458

    Google Scholar 

  • Lee J, Touba NA (Oct 2004) Low power test data compression based n LFSR reseeding. In Proceedings of international conference on computer design, pp 180–185

    Google Scholar 

  • Midulla I, Aktouf C (Dec 2008) Test power analysis at register transfert level. ASP J Low Pow Electron 4(3):402–409

    Article  Google Scholar 

  • Najm F (Dec 1994) A survey of power estimation techniques in VLSI circuits. IEEE Trans VLSI Sys 2(4):446–455

    Article  Google Scholar 

  • Nicolici N, Al-Hashimi B (2003) Power-constrained testing of VLSI circuits. Springer Science, New York, NY

    MATH  Google Scholar 

  • Pedram M, Rabaey J (eds) (2002) Power aware design methodologies. Kluwer Academic Publishers

    Google Scholar 

  • Pouya B, Crouch A (Oct 2000) Optimization trade-offs for vector volume and test power. In Proceedings of international test conference, pp 873–881

    Google Scholar 

  • Rajski J, Tyszer J, Kassab M, Mukherjee N (May 2004) Embedded deterministic test. IEEE Trans Computer-Aided Des 23:776–792

    Article  Google Scholar 

  • Ravi S, Devanathan VR, Parekhji R (Nov 2007) Methodology for low power test pattern generation using activity threshold control logic. In Proceedings of international conference on computer-aided-design, pp 526–529

    Google Scholar 

  • Ravi S, Parekhji R, Saxena J (Apr 2008) Low power test for nanometer system-on-chips (SoCs). ASP J Low Power Electron 4(1):81–100

    Article  Google Scholar 

  • Remersaro S, Lin X, Zhang Z, Reddy SM, Pomeranz I, Rajski J (Oct 2006) Preferred fill: a scalable method to reduce capture power for scan based designs. In Proceedings of international test conference, paper 32.2

    Google Scholar 

  • Rosinger P, Gonciari T, Al-Hashimi B, Nicolici N (2001) Simultaneous reduction in volume of test data and power dissipation for systems-on-a-chip. IEE Electron Lett 37(24):1434–1436

    Article  Google Scholar 

  • Rosinger P, Al-Hashimi B, Nicolici N (Jul 2004) Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. IEEE Trans Computer-Aided Des 23(7):1142–1153

    Article  Google Scholar 

  • Roy K, Mukhopadhaya S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. In Proceedings of IEEE, pp 305–327

    Google Scholar 

  • Sankaralingam R, Oruganti R, Touba NA (May 2000) Static compaction techniques to control scan vector power dissipation. In Proceedings of VLSI test symposium, pp 35–42

    Google Scholar 

  • Sankaralingam R, Touba NA (Feb 2003) Multi-phase shifting to reducing instantaneous peak power during scan. In Proceedings of Latin American Test Workshop, pp 78–83

    Google Scholar 

  • Saxena J, Butler KM, Whetsel L (Oct 2001) A scheme to reduce power consumption during scan testing. In Proceedings of internatinal test conference, pp 670–677

    Google Scholar 

  • Saxena J, Butler KM, Jayaram VB, Kundu S, Arvind NV, Sreeprakash P, Hachinger M (Oct 2003) A case study of ir-drop in structured at-speed testing. In Proceedings of international test conference, pp 1098–1104

    Google Scholar 

  • Sde-Paz S, Salomon E (Oct 2008) Frequency and power Correlation between At-Speed Scan and Functional Tests. In Proceedings 39th IEEE international test conference (ITC) 2008, pp 13.3

    Google Scholar 

  • Shi C, Kapur R (2004) How power aware test improves reliability and yield. IEEDesign.com, Sep. 15

    Google Scholar 

  • Wang Z, Chakrabarty K (Oct 2005) Test data compression for IP embedded cores using selective encoding of scan slices. In Proceedings of international test conference, paper 24.3

    Google Scholar 

  • Wang S, Gupta SK (Oct 1994) ATPG for heat dissipation minimization during test application. In Proceedings of international test conference, pp 250–258

    Google Scholar 

  • Wang S, Gupta SK (Oct 1997) DS-LFSR: a new BIST TPG for low heat dissipation. In Proceedings of international test conference, pp 848–857

    Google Scholar 

  • Wang S, Gupta SK (Oct 1999) LT-RTPG: a new test-per-Scan BIST TPG for low heat dissipation. In Proceedings of international test conference, pp 85–94

    Google Scholar 

  • Wang CY, Roy K (Jan 1995) Maximum power estimation for CMOS circuits using deterministic and statistical approaches. In Proceedings of VLSI conference, pp 364–369

    Google Scholar 

  • Wang L-T, Wu C-W, Wen X (2006) Vlsi test principles and architectures: design for testability. Morgan Kaufmann, San Francisco

    Google Scholar 

  • Wen X, Suzuki T, Kajihara S, Miyase K, Minamoto Y, Wang L-T, Saluja KK (Dec 2005a) Efficient test set modification for capture power reduction. ASP J Low Pow Electron 1(3):319–330

    Article  Google Scholar 

  • Wen X, Yamashita Y, Morishima S, Kajiihara S, Wang L-T, Saluja KK, Kinoshita K (May 2005b) On low-capture-power test generation for scan testing. In Proceedings of VLSI test symposium, pp 265–270

    Google Scholar 

  • Wen X, Kajihara S, Miyase K, Suzuki T, Saluja KK, Wang L-T, Abdel-Hafez KS, Kinoshita K (May 2006) A new ATPG method for efficient capture power reduction during scan testing. In Proceedings of VLSI test symposium, pp 58–63

    Google Scholar 

  • Wen X, Miyase K, Suzuki T, Yamato Y, Kajihara S, Wang L-T, Saluja KK (Oct 2006) A highly-guided x-filling method for effective low-capture-power scan test generation. In: Wen X et al. (eds) Proceedings of international conference on computer design, pp 251–258

    Google Scholar 

  • Wen X, Miyase K, Kajihara S, Suzuki T, Yamato Y, Girard P, Oosumi Y, Wang LT (Oct 2007) A novel scheme to reduce power supply noise for high-quality at-speed scan testing. In Proceedings of international test conference, paper 25.1

    Google Scholar 

  • Weste NHE, Eshraghian K (1993) Principles of CMOS VLSI design: a systems perspective, 2nd edn. Addison-Wesley

    Google Scholar 

  • Whetsel L (Oct 2000) Adapting scan architectures for low power operation. In Proceedings of international test conference, pp 863–872

    Google Scholar 

  • Wohl P, Waicukauski JA, Patel S, Amin MB (Jun 2003) Efficient compression and application of deterministic patterns in a logic BIST architecture. In Proceedings of design automation conference, pp 566–569

    Google Scholar 

  • Zoellin C, Wunderlich HJ, Maeding N, Leenstraa J (Oct 2006) BIST power reduction using scan-chain disable in the CELL processor. n Proceedings of international test conference, Paper 32.3

    Google Scholar 

  • Zorian Y (Apr 1993) A distributed BIST control scheme for complex VLSI devices. Proceedings of 11th IEEE VLSI test symposium, pp 4–9

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Patrick Girard .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Girard, P., Wunderlich, HJ. (2010). Models for Power-Aware Testing. In: Wunderlich, HJ. (eds) Models in Hardware Testing. Frontiers in Electronic Testing, vol 43. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3282-9_7

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3282-9_7

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3281-2

  • Online ISBN: 978-90-481-3282-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics