Skip to main content

Generalized Fault Modeling for Logic Diagnosis

  • Chapter
  • First Online:
Models in Hardware Testing

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 43))

Abstract

To cope with the numerous defect mechanisms in nanoelectronic technology, more and more complex fault models have been introduced. Each model comes with its own properties and algorithms for test generation and logic diagnosis. In diagnosis, however, the defect mechanisms of a failing device are not known in advance, and algorithms that assume a specific fault model may fail. Therefore, diagnosis techniques have been proposed that relax fault assumptions or even work without any fault model. In this chapter, we establish a generalized fault modeling technique and notation. Based on this notation, we describe and classify existing models and investigate the properties of a fault model independent diagnosis technique.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • Abramovici M, Breuer MA (1980) Fault diagnosis based on effect-cause analysis: an introduction. In Proceedings 17th design automation conference (DAC) 1980, pp 69–76, doi:10.1145/800139.804514

    Google Scholar 

  • Amyeen ME, Nayak D, Venkataraman S (Oct 2006) Improving precision using mixed-level fault diagnosis. In Proceedings 37th IEEE international test conference (ITC) 2006, pp 22.3, doi:10.1109/TEST.2006.297661

    Google Scholar 

  • Arnaout T, Bartsch G, Wunderlich H-J (Jan 2006) Some common aspects of design validation, debug and diagnosis. In 3rd IEEE international workshop on electronic design, test and applications (DELTA) 2006, pp 3–10, doi:10.1109/DELTA.2006.79

    Google Scholar 

  • Bartenstein T (2000) Fault distinguishing pattern generation. In Proceedings 31st IEEE international test conference (ITC) 2000, pp 820–828, doi:10.1109/ TEST.2000.894285

    Google Scholar 

  • Bartenstein T, Heaberlin D, Huisman LM, Sliwinski D (2001) Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm. In Proceedings 32nd IEEE international test conference (ITC) 2001, pp 287–296, doi:10.1109/TEST.2001.966644

    Google Scholar 

  • Bhatti NK, Blanton RD (Oct 2006) Diagnostic test generation for arbitrary faults. In Proceedings 37th IEEE international test conference (ITC) 2006, pp 19.2, doi:10.1109/TEST.2006.297647

    Google Scholar 

  • Blanton RD, Dwarakanath KN, Desineni R (2006) Defect modeling using fault tuples. IEEE Trans CAD Integrat Circuits Sys 25(11):2450–2464, doi:10.1109/TCAD.2006.870836

    Article  Google Scholar 

  • Boppana V, Hartanto I, Fuchs WK (1996) Full fault dictionary storage based on labeled tree encoding. In Proceedings 14th IEEE VLSI test symposium (VTS) 1996, pp 174–179, doi:10.1109/VTEST.1996.510854

    Google Scholar 

  • Chen KC (2003) Assertion-based verification for SoC designs. In Proceedings 5th International conference on ASIC 1:12–15

    Google Scholar 

  • Chen G, Reddy SM, Pomeranz I, Rajski J (2006) A test pattern ordering algorithm for diagnosis with truncated fail data. In Proceedings 43rd design automation conference (DAC) 2006, pp 399–404, doi:10.1145/1146909.1147015

    Google Scholar 

  • Chess B, Larrabee T (Mar 1999) Creating small fault dictionaries. IEEE Trans Comput-Aided Des Integrat Circuits Sys 18(3):346–356, doi:10.1109/43.748164

    Article  Google Scholar 

  • Desineni R, Poku O, Blanton RD (Oct 2006) A logic diagnosis methodology for improved localization and extraction of accurate defect behavior. In Proceedings 37th IEEE international test conference (ITC) 2006, pp 12.3, doi:10.1109/TEST.2006.297627

    Google Scholar 

  • Flottes M-L, Landrault C, Pravossoudovitch S (1991) Fault modeling and fault equivalence in CMOS technology. J Electron Test, vol 2, no 3, pp 229–241, doi:10.1007/BF00135440

    Article  Google Scholar 

  • Gong Y, Chakravarty S (1995) On adaptive diagnostic test generation. In Proceedings IEEE international conference on computer-aided design (ICCAD) 1995, p 181, doi:10.1109/ICCAD. 1995.480010

    Google Scholar 

  • Henderson CL, Soden JM (1997) Signature analysis for IC diagnosis and failure analysis. In Proceedings 28th IEEE international test conference (ITC) 1997, pp 310–318, doi:10.1109/TEST.1997.639632

    Google Scholar 

  • Holst S, Wunderlich H-J (May 2007) Adaptive debug and diagnosis without fault dictionaries. In Proceedings 12th European test symposium (ETS) 2007, pp 7–12, doi:10.1109/ETS.2007.9

    Google Scholar 

  • Holst S, Wunderlich H-J (2009) Adaptive debug and diagnosis without fault dictionaries. In J Electron Test, vol 25, no 4–5, pp 259–268, doi:10.1007/s10836-009-5109-3

    Article  Google Scholar 

  • Hora C, Segers R, Eichenberger S, Lousberg M (2002) An effective diagnosis method to support yield improvement. In Proceedings 33rd IEEE international test conference (ITC) 2002, pp 260–269, doi:10.1109/TEST.2002.1041768

    Google Scholar 

  • Keller BL (Aug 1996) Hierarchical pattern faults for describing logic circuit failure mechanisms, US Patent 5,546,408

    Google Scholar 

  • Khursheed S, Rosinger P, Al-Hashimi BM, Reddy SM, Harrod P (2008) Bridge defect diagnosis for multiple-voltage design. In Proceedings 13th European Test Symposium (ETS) 2008, pp 99–104, doi:10.1109/ETS.2008.14

    Google Scholar 

  • Klein R, Piekarz T (2005) Accelerating functional simulation for processor based designs. Proceedings International Workshop on System-on-Chip for Real-Time Applications 2005, pp 323–328, doi:10.1109/IWSOC.2005.34

    Google Scholar 

  • Krstic A, Wang L-C, Cheng K-T, Liou J-J, Abadir MS (2003) Delay defect diagnosis based upon statistical timing models – the first step. In Proceedings 6th Design, Automation and Test in Europe (DATE) 2003, pp 10,328–10,335

    Google Scholar 

  • Kundu S, Sengupta S, Goswami D (Apr 2006) Generalized fault model for defects and circuit marginalities, US Patent 7,036,063

    Google Scholar 

  • Lavo DB, Chess B, Larrabee T, Hartanto I (1998) Probabilistic mixed-model fault diagnosis. In Proceedings 29th IEEE international test conference (ITC) 1998, pp 1084–1093, doi:10.1109/TEST.1998.743308

    Google Scholar 

  • Li C-MJ, McCluskey EJ (2005) Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs. IEEE Trans CAD Integrat Circuits Sys 24(11):1748–1759, doi:10.1109/ TCAD.2005.852457

    Article  Google Scholar 

  • Liu C, Zou W, Reddy SM, Cheng W-T, Sharma M, Tang H (Oct 2007) Interconnect open defect diagnosis with minimal physical information. In Proceedings 38th International Test Conference (ITC) 2007, pp 7.3, doi:10.1109/TEST.2007.4437580

    Google Scholar 

  • Liu C, Cheng W-T, Tang H, Reddy SM, Zou W, Sharma M (Nov 2008) Hyperactive faults dictionary to increase diagnosis throughput. In Proceedings 17th Asian test symposium (ATS) 2008, pp 173–178, doi:10.1109/ATS.2008.16

    Google Scholar 

  • McPherson JW (2006) Reliability challenges for 45 nm and beyond. In Proceedings 43rd Design Automation Conference (DAC) 2006, pp 176–181, doi:10.1145/1146909.1146959

    Google Scholar 

  • Polian I, Czutro A, Kundu S, Becker B (Oct 2006) Power droop testing. In Proceedings international conference on computer design (ICCD) 2006, pp 243–250, doi:10.1109/ICCD.2006. 4380824

    Google Scholar 

  • Pomeranz I, Reddy SM (1992) On the generation of small dictionaries for fault location. In Proceedings IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 1992, pp 272–279, doi:10.1109/ICCAD.1992.279361

    Google Scholar 

  • Riley M, Chelstrom N, Genden M, Sawamura S (Oct 2006) Debug of the CELL processor: moving the lab into silicon. In Proceedings 37th IEEE international test conference (ITC) 2006, pp 26.1, doi:10.1109/TEST.2006.297671

    Google Scholar 

  • Rodríguez-Montañés R, Arumí, D, Figueras J, Eichenberger S, Hora C, Kruseman B (2007) Impact of gate tunnelling leakage on CMOS circuits with full open defects. Electron Lett 43(21): 1140–1141, 11. doi:10.1049/el:20072117

    Google Scholar 

  • Rousset A, Bosio A, Girard P, Landrault C, Pravossoudovitch S, Virazel A (Oct 2007) Fast bridging fault diagnosis using logic information. In Proceedings 16th Asian Test Symposium (ATS) 2007, pp 33–38, doi:10.1109/ATS.2007.75

    Google Scholar 

  • Roy K, Mak TM, Cheng K-T (2006) Test consideration for nanometer-scale CMOS circuits. IEEE Des Test Comput 23(2):128–136, doi:10.1109/MDT.2006.52

    Article  Google Scholar 

  • Soden JM, Treece RK, Taylor MR, Hawkins CF (Aug 1989) CMOS IC stuck-open-fault electrical effects and design considerations. In Proceedings 20th international test conference (ITC) 1989, pp 423–430, doi:10.1109/TEST.1989.82325

    Google Scholar 

  • Tirumurti C, Kundu S, Sur-Kolay S, Chang Y-S (2004) A modeling approach for addressing power supply switching noise related failures of integrated circuit. In Proceedings 7th Design, Automation and Test in Europe (DATE) 2004, pp 1078–1083, doi:10.1109/DATE.2004.1269036

    Google Scholar 

  • Ubar R (2003) Design error diagnosis with resynthesis in combinational circuits. J Electron Test Theory Appl 19:73–82, doi:10.1023/A:1021948013402

    Article  Google Scholar 

  • Veneris AG, Chang R, Abadir MS, Amiri M (2004) Fault equivalence and diagnostic test generation using ATPG. In Proceedings IEEE international symposium on circuits and systems (ISCAS) 2004, pp 221–224

    Google Scholar 

  • Wadsack R (1978) Fault modeling and logic simulation of CMOS and MOS integrated circuits. Bell Sys Techn J 57:1449–1488

    MATH  Google Scholar 

  • Waicukauski JA, Lindbloom E (Aug 1989) Failure diagnosis of structured VLSI. IEEE Des Test Comput 6(4):49–60, doi:10.1109/54.32421

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hans-Joachim Wunderlich .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Wunderlich, HJ., Holst, S. (2010). Generalized Fault Modeling for Logic Diagnosis. In: Wunderlich, HJ. (eds) Models in Hardware Testing. Frontiers in Electronic Testing, vol 43. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3282-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3282-9_5

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3281-2

  • Online ISBN: 978-90-481-3282-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics