Skip to main content

Models for Delay Faults

  • Chapter
  • First Online:

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 43))

Abstract

In this chapter fault models used to model the effects of defects causing excessive circuit delays are discussed. Methods to generate tests to detect modeled faults and design for test methods to improve fault coverage are reviewed. Current work in detecting what are called small delay defects is discussed.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  • Abraham J, Goel U, Kumar A (Apr 2006) Multi-cycle sensitizatizable transition delay faults. Proceedings of VLSI test symposium, pp 308–313

    Google Scholar 

  • Abramovici M, Breuer M, Friedman AD (1990) Digital systems testing and testable design. IEEE Press

    Google Scholar 

  • Ahmed N, Tehranipoor M, Ravikumar CP, Butler KM (May 2007) Local at-speed scan enable generation for transition fault testing using low-cost testers. IEEE Trans Comput-Aided Des Integrat Circuits Sys 26:896–906

    Article  Google Scholar 

  • Barzilai Z, Rosen B (Sep 1983) Comparison of AC self-testing procedures. Proceedings of international test conference, pp 89–94

    Google Scholar 

  • Benware B, Liu C, Van Slyke J, Krishnamurthy P, Madge R, Keim M, Kassab M, Rajski J (Oct 2004) Affordable and effective screening of delay defects in ASICS using the inline resistance fault model. Proceedings of international test conference, pp 1285–1294

    Google Scholar 

  • Brand D, Iyengar VS (Oct 1994) Identification of redundant delay faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 13:553–565

    Article  Google Scholar 

  • Breuer MA (Oct 197) The effects of races, delays, and delay faults on test generation. IEEE Trans Comput C-23:1078–1092

    Google Scholar 

  • Bushnell M, Agrawal VD (2000) Essentials of electronic testing for digital, memory, and mixed signal circuits. Frontiers in electronic testing volume 17. Springer

    Google Scholar 

  • Cai Y, Schmitz MT, Al-Hashimi BM, Reddy SM (Jan 2007) Workload-ahead-driven online energy minimization techniques for battery-powered systems with time-constraint. ACM transaction on design automation of electronic systems, vol 12

    Google Scholar 

  • Carter JL, Iyengar VS, Rosen BK (Sep 1987) Efficient test coverage determination for delay faults. Proceedings of international test conference, pp 418–427

    Google Scholar 

  • Chen G, Reddy SM, Pomeranz I (Oct 2003) Procedures for identifying untestable and redundant transition faults in synchronous sequential circuits. Proceedings of international conference on computer design: VLSI in computers and processors, pp 36–41

    Google Scholar 

  • Cheng K-T, Chen H-C (Sep 1993) Delay testing for non-robust untestable circuits. Proceedings of international test conference, pp 954–961

    Google Scholar 

  • Cheng K-T (Dec 1993) Transition fault testing for sequential circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 12:1971–1983

    Article  Google Scholar 

  • Cheng K-T, Chen H-C (Aug 1996) Classification and identification of nonrobust untestable path delay faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 15:845–853

    Article  Google Scholar 

  • Dasgupta S, Walthers RG, Williams TW, Eichelberger EB (Jun 1981) An enhancement to LSSD and some applications of LSSD in reliability, availability and serviceability. Proceedings of international symposium on fault-tolrant computing, pp 880–885

    Google Scholar 

  • Devtaprasanna N, Gunda A, Krsihnamurthy P, Reddy SM, Pomeranz I (Oct 2005) A novel method of improving transition delay fault coverage using multiple scan enable signals. Proceedings of international conference on computer design: VLSI in computers and processors, pp 471–474

    Google Scholar 

  • Dumas D, Girard P, Landrault C, Pravossoudovitch S (Oct 1993) An implicit delay fault simulation method with approximate detection threshold calculation. Proceedings of international test conference, pp 705–713

    Google Scholar 

  • Eichelberger EB, Williams TW (1978) A logic design structure for LSI testability. J Des Automation Fault-Tolerant Comput 2:165–178

    Google Scholar 

  • Gharaybeh MA, Bushnell ML, Agrawal VD (Apr 1998) The path-status graph with applications to delay fault simulation. IEEE Trans Comput-Aided Des Integrat Circuits Sys 17:324–332

    Article  Google Scholar 

  • Guo R, Venkataraman S (Sep 2006) An algorithmic technique for diagnosis of faulty scan chains. IEEE Trans Comput-Aided Des Integrat Circuits Sys 25:1861–1868

    Article  Google Scholar 

  • Heragu K, Patel JH, Agrawal VD (Jan 1996) Segment delay faults: a new fault model. Proceedings of international conference on VLSI design conference, pp 32–39

    Google Scholar 

  • Iyengar VS, Rosen BK, Waicukauski JA (Mar 1990) On computing the sizes of detected delay faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 9:299–312

    Article  Google Scholar 

  • Iyengar VS, Vijayan G (Nov 1992) Optimized test application timing for AC testing. IEEE Trans Comput-Aided Des Integrat Circuits Sys 11:1439–1449

    Article  Google Scholar 

  • Jha NK, Gupta S (2003) Testing of digital systems. Cambridge University Press

    Google Scholar 

  • Kagaris D, Tragoudas S (Sep 2002) On the nonenmerative path delay fault simulation problem. IEEE Trans Comput-Aided Des Integrat Circuits Sys 21:1095–1101

    Article  Google Scholar 

  • Kajihara S, Kinoshita K, Pomeranz I, Reddy SM (Jan 1997) A method for identifying robust dependent and functionally unsensitizable paths. Proceedings of international conference on VLSI design conference, pp 82–87

    Google Scholar 

  • Kajihara S, Shimono T, Pomeranz I, Reddy SM (Dec 2000) Enhanced untestable path analysis using edge graphs. Proceedings of Asian test symposium, pp 139–144

    Google Scholar 

  • Ke W, Menon PR (Feb 1995) Synthesis of delay-verifiable combinational circuits. IEEE Trans Comput 44:213–222

    Article  MATH  Google Scholar 

  • Konuk H (Oct 2000) On invalidation mechanisms for non-robust delay tests. Proceedings of international test conference, pp 393–399

    Google Scholar 

  • Krstic A, Cheng K-T (1998) Delay fault testing for VLSI circuits. Frontiers in electronic testing, Springer

    Book  Google Scholar 

  • Lam WK, Saldanha A, Brayton RK, Sangiovanni-Vincentelli AL (Jun 1993) Delay fault coverage and performance tradeoffs. Proceedings of design automation conference, pp 446–451

    Google Scholar 

  • Lee K-J, Hsu S-J, Ho C-M (Nov 2004) Test power reduction with multiple capture orders. Proceedings of Asian test symposium, pp 26–31

    Google Scholar 

  • Lee H, Pomeranz I, Reddy SM (Mar 2008) On complete functional broadside tests for transition faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 27:583–587

    Article  Google Scholar 

  • Li WN, Reddy SM, Sahni S (Jan 1989) On path selection in combinational logic circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 8:56–63

    Article  Google Scholar 

  • Lin CJ, Reddy SM (Sep 1987) On delay fault testing in logic circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 6:694–703

    Article  Google Scholar 

  • Lin X, Pomeranz I, Reddy SM (Oct 1998) On finding undetectable and redundant faults in synchronous sequential circuits. Proceedings of international conference on computer design: VLSI in computers and processors, pp 498–503

    Google Scholar 

  • Lin Y-C, Lu F, Yang K, Cheng K-T (Jan 2005) Constraint extraction for pseudo-functional scan-based delay testing. Proceedings of Asia and South Pacific design automation conference, pp 166–171

    Google Scholar 

  • Lin X, Tsai K-H, Kassab M, Rajski J, Kobayashi T, Klingenberg R, Sato Y, Hamada S, Aikyo T (Nov 2006) Timing-aware ATPG for high quality at-speed testing of small delay defects. Proceedings of Asian test symposium, pp 139–146

    Google Scholar 

  • Majhi AK, Jacob J, Patnaik LM, Agrawal VD (Jan 1996) On test coverage of path delay faults. Proceedings of international conference on VLSI design conference, pp 418–421

    Google Scholar 

  • Malaiya YK, Narayanaswamy R (Oct 1983) Testing for timing faults in synchronous sequential circuits. Proceedings of international test conference, pp 560–571

    Google Scholar 

  • Murakami A, Kajihara S, Sasao T, Pomeranz I, Reddy SM (Oct 2000) Selection of potentially testable path delay faults for test generation. Proceedings of international test conference, pp 376–384

    Google Scholar 

  • Park ES, Mercer MR, Williams TW (Feb 1989) A statistical model for delay-fault testing. IEEE Des Test Comput 6:45–55

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Jun 1992) At-speed delay testing of synchronous sequential circuits. Proceedings of ACM/IEEE design automation conference, pp 177–181

    Google Scholar 

  • Pomeranz I, Reddy SM (Feb 1994) An efficient non-enumerative method to estimate the path delay fault coverage in combinational circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 13:240–250

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Nov 1995) Functional test generation for delay faults in combinational circuits. Proceedings of international conference on computer-aided design, pp 687–694

    Google Scholar 

  • Pomeranz I, Reddy SM, Uppaluri P (Dec 1995) NEST: a non-enumerative test generation method for path delay faults in combinational circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 14:1505–1515

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Jan 1996a) On the number of tests to detect all path delay faults in combinational logic circuits. IEEE Trans Comput-Aided Des Integrat Circuits Sys 15:50–62

    Google Scholar 

  • Pomeranz I, Reddy SM, Patel JH (Mar 1996b) On double transition faults as a delay fault model. Proceedings of Great Lakes symposium on VLSI, pp 282–287

    Google Scholar 

  • Pomeranz I, Reddy SM (1998) Delay fault models for VLSI circuits. Integrat VLSI J 26:21–40

    Article  MATH  Google Scholar 

  • Pomeranz I, Reddy SM (Sep 2002) On the coverage of delay faults in scan designs with multiple scan chains. Proceedings of international conference on computer design: VLSI in computers and processors, pp 206–209

    Google Scholar 

  • Pomeranz I, Reddy SM (Oct 2006) Generation of functional broadside tests for transition faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 25:2207–2218

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Jan 2008) Transition path delay faults: a new path delay fault model for small and large delay defects. IEEE Trans VLSI Sys 16:98–107

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Jan 2008) Unspecified transition fault model: a transition fault model for at-speed fault simulation and test generation. IEEE Trans Comput-Aided Des Integrat Circuits Sys 27:137–146

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (Jan 2009) Functional broadside tests under an expanded definition of functional operation conditions. IEEE Trans Comput-Aided Des Integrat Circuits Sys 28:121–129

    Article  Google Scholar 

  • Pomeranz I, Reddy SM (2009) Hazard-based detection conditions for improved transition fault coverage of scan-based tests. IEEE Trans VLSI Sys 17

    Google Scholar 

  • Pramanick AK, Reddy SM (Sep 1989) On the detection of delay faults. Proceedings of international test conference, pp 680–687

    Google Scholar 

  • Pramanick AK, Reddy SM (Mar 1990) On the fault coverage of gate delay fault detecting tests. Proceedings of European Design Automation Conference (EDAC), pp 334–338

    Google Scholar 

  • Pramanick AK, Reddy SM (Jan 1997) On the fault coverage of gate delay fault detecting tests. IEEE Trans Comput-Aided Des Integrat Circuits Sys 16:78–94

    Article  Google Scholar 

  • Rearick J (Oct 2001) Too much delay fault coverage is a bad thing. Proceedings of international test conference, pp 624–633

    Google Scholar 

  • Reddy SM, Pomeranz I, Kajihara S, Murakami A, Takeoka S, Ohta M (Oct 2000) On validating data hold times for flip-flops in sequential circuits. Proceedings of international test conference, pp 317–325

    Google Scholar 

  • Rosinger P, Al-Hashimi BM, Nicolici N (Jul 2004) Scan architecture with mutually exclusive scan segment activation for shift-and-capture-power reduction. IEEE Trans Comput-Aided Des Integrat Circuits Sys 23:1142–1153

    Article  Google Scholar 

  • Sato Y, Hamada S, Maeda T, Takatori A, Kajihara S (Jan 2005) Evaluation of the statistical delay quality model. Proceedings of Asia and South Pacific design automation conference, pp 305–310

    Google Scholar 

  • Savir V, Patil S (Aug 1993) Scan-based transition test. Trans Comput-Aided Des Integrat Circuits Sys 12:1232–1241

    Article  Google Scholar 

  • Savir J, Patil S (Aug 1994) Broad-side delay test. Trans Comput-Aided Des Integrat Circuits Sys 13:1057–1064

    Article  Google Scholar 

  • Saxena J, Butler KM, Jayaram VB, Kundu S, Arvind NV, Sreeprakash P, Hachinger M (Sep 2003) A case study of ir-drop in structured at-speed testing. Proceedings of international test conference, pp 1098–1104

    Google Scholar 

  • Seshadri B, Pomeranz I, Reddy SM (May 2005) Path-oriented transition fault test generation considering operating conditions. Proceedings of European test symposium, pp 54–59

    Google Scholar 

  • Schulz MH, Trischler E, Sarfert TM (Jan 1988) SOCRATES: a highly efficient automatic test pattern generation system. IEEE Trans Comput-Aided Des Integrat Circuits Sys 7:126–137

    Article  Google Scholar 

  • Shao Y, Reddy SM, Kajihara S, Pomeranz I (Nov 2001) An efficient method to identify untestable path delay faults. Proceedings of Asian test symposium, pp 233–238

    Google Scholar 

  • Shao Y, Pomeranz I, Reddy SM (Nov 2002) On generating high quality tests for transition faults. Proceedings of Asian test symposium, pp 1–8

    Google Scholar 

  • Sinanoglu O, Schremmer P (Apr. 2007) Diagnosis, modeling and tolerance of scan chain hold-time violations. Proceedings of design automation and test in Europe conference

    Google Scholar 

  • Smith GL (Sep 1985) Model for delay faults based upon paths. Proceedings of international test conference, pp 342–349

    Google Scholar 

  • Sparmann U, Luxenburger D, Cheng K-T, Reddy SM (Jun 1995) Fast identification of robust dependent path delay faults. Proceedings of design automation conference, pp 119–125

    Google Scholar 

  • Syal M, Chandrasekar K, Vimjam V, Hsiao MS, Chang Y-S, Chakravarty S (Oct 2006) A study of implication based pseudo functional testing. Proceedings of international test conference

    Google Scholar 

  • Tragoudas S, Karayiannis D (Jul 1999) A fast nonenumerative automatic test pattern generator for path delay faults. IEEE Trans Comput-Aided Des Integr Circuits Sys 18:1050–1057

    Article  Google Scholar 

  • Underwood B, Law W-O, Kang S, Konuk H (Oct 1994) Fastpath: a path-delay test generator for standard scan designs. Proceedings of international test conference, pp 154–163

    Google Scholar 

  • Waicukauski J, Lindbloom E, Rosen B, Iyengar V (Apr 1987) Transition fault simulation. IEEE design and test, pp 32–38

    Google Scholar 

  • Wang S, Wei W (Jan 2007) A technique to reduce peak current and average power dissipation in scan designs by limited capture. Proceedings of Asia and South Pacific design automation conference, pp 810–816

    Google Scholar 

  • Wang LT, Stroud C, Touba N (2008) System on chip test architectures. Morgan Kaufmann Publishers

    Google Scholar 

  • Wang Z, Walker DMH (May 2008) Dynamic compaction for high quality delay test. Proceedings of VLSI test symposium, pp 243–248

    Google Scholar 

  • Whetsel L (Oct 2000) Adapting scan architecture for low power operation. Proceedings of international test conference, pp 863–872

    Google Scholar 

  • Xu G, Singh AD (May 2007) Scan cell design for launch-on-shift delay tests with slow scan enable. IET Comput Dig Tech 1:213–219

    Article  Google Scholar 

  • Zhang Z, Reddy SM, Pomeranz I (Oct 2005) On generating pseudo-functional delay fault tests for scan designs. Proceedings of IEEE international symposium on defect and fault tolerance in VLSI systems, pp 398–405

    Google Scholar 

  • Zhang Z, Reddy SM, Pomeranz I, Lin X, Rajski J (Apr 2006) Scan tests with multiple fault activation cycles for delay faults. Proceedings of VLSI test symposium, pp 343–348

    Google Scholar 

  • Zhang Z (Dec 2006) New test generation methods for transition delay faults in scan designs. Ph.D. Thesis, University of Iowa, Iowa City, Iowa, USA

    Google Scholar 

  • Zhang Z, Reddy SM, Pomeranz I (Jan 2007) Warning: launch off Shist tests for delay faults may contribute to test escapes. Proceedings of Asia and South Pacific design automation conference, pp 817–822

    Google Scholar 

  • Zhang Z, Reddy SM, Pomeranz I, Rajski J, Al-Hashimi BM (May 2007) Enhancing delay fault coverage through low-power segmented scan. IET computers and digital techniques, pp 220–229

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sudhakar M. Reddy .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Reddy, S.M. (2010). Models for Delay Faults. In: Wunderlich, HJ. (eds) Models in Hardware Testing. Frontiers in Electronic Testing, vol 43. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3282-9_3

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3282-9_3

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3281-2

  • Online ISBN: 978-90-481-3282-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics