Skip to main content

The Quest for Scalable On-Chip Interconnection Networks: Bus/NoC Hybridization [15]

  • Chapter
  • First Online:
Network-on-Chip Architectures

Abstract

Systems-on-Chip (SoCs) have become the design methodology of choice for a multitude of applications, spawning a number of on-chip communication-related challenges. So far, the two dominant architectural choices for implementing efficient communication fabrics for SoCs have been transaction-based processor interconnect buses and packet-based Networks-on-Chip (NoC). Transaction-based buses have in-built design choices that constrain their application, making them unsuitable as efficient SoC interconnects. Networks-on-Chip, on the other hand, suffer from inconsistent latencies and deterioration of performance at high data injection rates due to their network pedigree.

In this chapter, we aim to address this disconnect between buses and NoCs in an attempt to develop a highly scalable and efficient interconnection framework that captures the intricacies of both heterogeneous Multi-Processor SoCs (MPSoC) and homogeneous Chip Multiprocessors (CMP). Before embarking on this quest, we first evaluate thoroughly existing interconnect types, including the newly-proposed Code-Division Multiple-Access (CDMA) interconnects. Building on this exploratory phase, a new transaction-less bus, the Dynamic Time-Division Multiple-Access (dTDMA) bus, is then developed and analyzed, and its performance quantified and compared to existing buses and NoCs. The dTDMA bus was specifically architected to overcome the weaknesses of transaction-based buses and be amenable to bandwidth-hungry SoC applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Krewell, “Multicore Showdown,” Microprocessor Report, vol. 19, pp. 41–45, 2005.

    Google Scholar 

  2. L. Benini and G. D. Micheli, “Networks on Chips: A New SoC Paradigm,” IEEE Computer, vol. 35, pp. 70-78, 2002.

    Google Scholar 

  3. R. Kumar, V. Zyuban, and D. M. Tullsen, “Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling,” in Proceedings of the 32nd International Symposium on Computer Architecture (ISCA), pp. 408–419, 2005.

    Google Scholar 

  4. M. B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffman, P. Johnson, L. Jae-Wook, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal, “The Raw microprocessor: a computational fabric for software circuits and general-purpose programs,” IEEE Micro, vol. 22, pp. 25-35, 2002.

    Article  Google Scholar 

  5. J. Kim, C. Nicopoulos, D. Park, N. Vijakrishnan, M. S. Yousif, and C. R. Das, “A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks,” in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), pp. 4-15, 2006.

    Google Scholar 

  6. W. J. Dally and B. Towles, Principles and practices of interconnection networks: Morgan Kaufmann, 2003.

    Google Scholar 

  7. ARM Ltd. AMBA Specification Rev. 2.0, http://www.arm.com/, 1999.

  8. ARM Ltd. AMBA AXI Protocol v1.0 Specification, http://www.arm.com/, 2004.

  9. IBM Corp. 32-bit Processor Local Bus Architecture Specifications v2.9, http://www.ibm.com/, 2001.

  10. STMicroelectronics STBus Communication System, http://www.st.com/, 2003.

  11. L. Ruibing and K. Cheng-Koh, “SAMBA-bus: A high performance bus architecture for system-on-chips,” in Proceedings of the International Conference on Computer-Aided Design (ICCAD), pp. 8-12, 2003.

    Google Scholar 

  12. J. Y. Chen, W. B. Jone, J. S. Wang, H. I. Lu, and T. F. Chen, “Segmented bus design for low-power systems,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 7, pp. 25-29, 1999.

    Google Scholar 

  13. K. Lahiri, A. Raghunathan, and G. Lakshminarayana, “LOTTERYBUS: a new high-performance communication architecture for system-on-chip designs,” in Proceedings of the Design Automation Conference (DAC), pp. 15-20, 2001.

    Google Scholar 

  14. F. Poletti, D. Bertozzi, L. Benini, and A. Bogliolo, “Performance Analysis of Arbitration Policies for SoC Communication Architectures,” in Design Automation for Embedded Systems Journal, vol. 8 (2-3), 2003.

    Google Scholar 

  15. Sonics Inc. SiliconBackplane III, http://www.sonicsinc.com/.

  16. Sonics Inc. Sonics MX, http://www.sonicsinc.com/.

  17. J. G. Niemann, M. Porrmann, and U. Ruckert, “A scalable parallel SoC architecture for network processors,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 311-313, 2005.

    Google Scholar 

  18. R. H. Bell, Jr., K. Chang Yong, L. John, and E. E. Swartzlander, Jr., “CDMA as a multiprocessor interconnect strategy,” In Proceedings of the 35th Asilomar Conference on Signals, Systems and Computers, vol. 2, pp. 1246-1250 vol.2, 2001.

    Google Scholar 

  19. I. Verbauwhede and P. Schaumont, “The Happy Marriage of Architecture and Application in Next-Generation Reconfigurable Systems,” in Proceedings of the 1st Conference on Computing Frontiers, pp. 363–376, 2004.

    Google Scholar 

  20. J. S. Lee and L. E. Miller, CDMA Systems Engineering Handbook: Artech House Publishers, 1998.

    Google Scholar 

  21. B. J. Choi, “Spreading Sequences,” http://www-mobile.ecs.soton.ac.uk/bjc97r/pnseq-1.1/index.html, 3 May 2000.

  22. R. C. Dixon, Spread Spectrum Systems With Commercial Applications, 3rd ed: John Wiley & Sons, Inc., 1994.

    Google Scholar 

  23. J. J. Komo and S. C. Liu, “Modified Kasami sequences for CDMA,” in Proceedings of the 22nd Southeastern Symposium on System Theory, pp. 219-222, 1990.

    Google Scholar 

  24. K. Jongsun, X. Zhiwei, and M. F. Chang, “Reconfigurable memory bus systems using multi-Gbps/pin CDMA I/O transceivers,” in Proceedings of the International Symposium on Circuits and Systems (ISCAS), vol. 2, pp. II-33-II-36 vol.2, 2003.

    Google Scholar 

  25. P. M. Wexelblat, “An alternative addressing scheme for conventional CDMA fiber-optic networks allows interesting parallel processing capabilities,” in Proceedings of the International Conference on Parallel and Distributed Systems, pp. 248-255, 1996.

    Google Scholar 

  26. R. Yoshimura, K. Tan Boon, T. Ogawa, S. Hatanaka, T. Matsuoka, and K. Taniguchi, “DS-CDMA wired bus with simple interconnection topology for parallel processing system LSIs,” in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 370-371, 2000.

    Google Scholar 

  27. K. Daewook, K. Manho, and G. E. Sobelman, “CDMA-based network-on-chip architecture,” in Proceedings of the IEEE Asia-Pacific Conference on Circuits and Systems, vol. 1, pp. 137-140 vol.1, 2004.

    Google Scholar 

  28. A. Artieri, “Nomadik: An MPSoC Solution for Advanced Multimedia,” in Proceedings of the 5th International Forum on Application Specific MPSoC, 2005.

    Google Scholar 

  29. A. Nightingale and J. Goodenough, “Testing for AMBA compliance,” in Proceedings of the IEEE International ASIC/SOC Conference, pp. 301-305, 2001.

    Google Scholar 

  30. A. Hamann and R. Ernst, “TDMA time slot and turn optimization with evolutionary search techniques,” in Proceedings of the Design Automation and Test in Europe (DATE) Conference, pp. 312-317 Vol. 1, 2005.

    Google Scholar 

  31. A. Maxiaguine, Z. Yongxin, C. Samarjit, and W. Weng-Fai, “Tuning SoC platforms for multimedia processing: identifying limits and tradeoffs,” in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS), pp. 128-133, 2004.

    Google Scholar 

  32. D. J. Sorin, M. Plakal, A. E. Condon, M. D. Hill, M. M. K. Martin, and D. A. Wood, “Specifying and verifying a broadcast and a multicast snooping cache coherence protocol,” in IEEE Transactions on Parallel and Distributed Systems, vol. 13, pp. 556-578, 2002.

    Google Scholar 

  33. ASU Nanoscale Integration and Modeling (NIMO) Group Predictive Technology Model (PTM), http://www.eas.asu.edu/∼ptm/.

  34. M. Coppola, “From Spaghetti wires to NoC,” in Proceedings of the 5th International Forum on Application Specific MPSoC, 2005.

    Google Scholar 

  35. K. Lahiri and A. Raghunathan, “Power analysis of system-level on-chip communication architectures,” in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS), pp. 236-241, 2004.

    Google Scholar 

  36. W. Hung, C. Addo-Quaye, T. Theocharides, Y. Xie, N. Vijakrishnan, and M. J. Irwin, “Thermal-aware IP virtualization and placement for networks-on-chip architecture,” in Proceedings of the IEEE International Conference on Computer Design (ICCD), pp. 430-437, 2004.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chrysostomos Nicopoulos .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Nicopoulos, C., Narayanan, V., Das, C.R. (2009). The Quest for Scalable On-Chip Interconnection Networks: Bus/NoC Hybridization [15]. In: Network-on-Chip Architectures. Lecture Notes in Electrical Engineering, vol 45. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3031-3_7

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3031-3_7

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3030-6

  • Online ISBN: 978-90-481-3031-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics