Skip to main content

On the Effects of Process Variation in Network-on-Chip Architectures [45]

  • Chapter
  • First Online:

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 45))

Abstract

Chapter 5 described how on-chip routers are increasingly susceptible to various hard and soft faults, which themselves are a natural consequence of diminutive feature sizes. In addition to these hindrances, the last couple of years have witnessed the emergence of yet another artifact of deep sub-micron technology, Process Variation (PV). PV is a consequence of manufacturing imperfections, which may lead to degraded performance and even erroneous behavior. In this chapter, the author presents the first comprehensive evaluation of NoC susceptibility to PV effects and proposes an array of architectural improvements in the form of a new router design – called SturdiSwitch – to increase resiliency to these effects. Through extensive re-engineering of critical components, SturdiSwitch provides increased immunity to PV while improving performance and increasing area and power efficiency.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. T. Dumitras, S. Kerner, and R. Marculescu, “Towards on-chip fault-tolerant communication,” in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 225-232, 2003.

    Google Scholar 

  2. D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das, “Exploring Fault-Tolerant Network-on-Chip Architectures,” in Proceedings of the International Conference on Dependable Systems and Networks (DSN), pp. 93-104, 2006.

    Google Scholar 

  3. E. Chang, B. Stine, T. Maung, R. Divecha, D. Boning, J. Chung, K. Chang, G. Ray, D. Bradbury, O. S. Nakagawa, S. Oh, and D. Bartelink, “Using a statistical metrology framework to identify systematic and random sources of die- and wafer-level ILD thickness variation in CMP processes,” in the Proceedings of the International Electron Devices Meeting, pp. 499-502, 1995.

    Google Scholar 

  4. J. Kim, C. Nicopoulos, D. Park, N. Vijakrishnan, M. S. Yousif, and C. R. Das, “A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks,” in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), pp. 4-15, 2006.

    Google Scholar 

  5. R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 188-197, 2004.

    Google Scholar 

  6. L. S. Peh and W. J. Dally, “A delay model and speculative architecture for pipelined routers,” in Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA), pp. 255-266, 2001.

    Google Scholar 

  7. C. Xuning and L. S. Peh, “Leakage power modeling and optimization in interconnection networks,” in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pp. 90-95, 2003.

    Google Scholar 

  8. T. T. Ye, L. Benini, and G. De Micheli, “Analysis of power consumption on switch fabrics in network routers,” in Proceedings of the 39th Design Automation Conference (DAC), pp. 524-529, 2002.

    Google Scholar 

  9. H. Jingcao and R. Marculescu, “Energy- and performance-aware mapping for regular NoC architectures,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, pp. 551-562, 2005.

    Google Scholar 

  10. D. Bertozzi, L. Benini, and G. De Micheli, “Low power error resilient encoding for on-chip data buses,” in Proc. of the Design, Automation and Test in Europe Conference (DATE), pp. 102-109, 2002.

    Google Scholar 

  11. K. Constantinides, S. Plaza, J. Blome, Z. Bin, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky, “BulletProof: A Defect-Tolerant CMP Switch Architecture,” in Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), pp. 3-14, 2006.

    Google Scholar 

  12. H. Zimmer and A. Jantsch, “A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip,” in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp.

    Google Scholar 

  13. M. Mondal, X. Wu, A. Aziz, and Y. Massoud, “Reliability Analysis for On-chip Networks under RC Interconnect Delay Variation,” in Proceedings of the 1st International Conference on Nano-Networks (Nano-Net), 2006.

    Google Scholar 

  14. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De, “Parameter variations and impact on circuits and microarchitecture,” in Proceedings of the Design Automation Conference (DAC), pp. 338-342, 2003.

    Google Scholar 

  15. C. Visweswariah, “Death, taxes and failing chips,” in Proceedings of the Design Automation Conference (DAC), pp. 343-347, 2003.

    Google Scholar 

  16. S. Nassif, “Delay variability: sources, impacts and trends,” in Digest of Technical Papers of the IEEE International Solid-State Circuits Conference (ISSCC), pp. 368-369, 2000.

    Google Scholar 

  17. A. Agarwal, D. Blaauw, and V. Zolotov, “Statistical timing analysis for intra-die process variations with spatial correlations,” in Proceedings of the International Conference on Computer-Aided Design (ICCAD), pp. 900-907, 2003.

    Google Scholar 

  18. Y. Peng, S. X. Shi, and D. Z. Pan, “Process variation aware OPC with variational lithography modeling,” in Proceedings of the 43rd Design Automation Conference (DAC), pp. 785-790, 2006.

    Google Scholar 

  19. L. Jiayong, L. Xin, and L. T. Pileggi, “STAC: statistical timing analysis with correlation,” in Proceedings of the 41st Design Automation Conference (DAC), pp. 343-348, 2004.

    Google Scholar 

  20. M. R. Guthaus, N. Venkateswaran, C. Visweswariah, and V. Zolotov, “Gate sizing using incremental parameterized statistical timing analysis,” in Proceedings of the International Conference on Computer-Aided Design (ICCAD), pp. 1029-1036, 2005.

    Google Scholar 

  21. A. Datta, S. Bhunia, S. Mukhopadhyay, N. Banerjee, and K. Roy, “Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100nm technologies,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 926-931 Vol. 2, 2005.

    Google Scholar 

  22. Z. Wei and C. Yu, “New generation of predictive technology model for sub-45nm design exploration,” in Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED), 2006.

    Google Scholar 

  23. R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, and M. J. Irwin, “SEAT-LA: a soft error analysis tool for combinational logic,” in Proceedings of the 19th International Conference on VLSI Design, 2006.

    Google Scholar 

  24. E. J. Kim, G. M. Link, K. H. Yum, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and C. R. Das, “A holistic approach to designing energy-efficient cluster interconnects,” in IEEE Transactions on Computers, vol. 54, pp. 660-671, 2005.

    Google Scholar 

  25. K. Meng and R. Joseph, “Process variation aware cache leakage management,” in Proceedings of the 2006 International Symposium on Low Power Electronics and Design (ISLPED), pp. 262-267, 2006.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chrysostomos Nicopoulos .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media B.V.

About this chapter

Cite this chapter

Nicopoulos, C., Narayanan, V., Das, C.R. (2009). On the Effects of Process Variation in Network-on-Chip Architectures [45]. In: Network-on-Chip Architectures. Lecture Notes in Electrical Engineering, vol 45. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3031-3_6

Download citation

  • DOI: https://doi.org/10.1007/978-90-481-3031-3_6

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-3030-6

  • Online ISBN: 978-90-481-3031-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics