Abstract
Router buffers are instrumental in the overall operation of the on-chip network. Besides performance, buffers greatly affect the network’s overall energy budget. In fact, of the different components comprising the interconnection fabric of SoCs, buffers are the largest leakage power consumers in an NoC router, consuming about 64% of the total router leakage power [50]. Similarly, buffers consume significant dynamic power [22,51] and this consumption increases rapidly as packet flow throughput increases [51]. In fact, it has been observed that storing a packet in a buffer consumes far more energy than transmitting the packet [51]. Furthermore, the area occupied by an on-chip router is dominated by the buffers [14,52,53]. Consequently, buffer design plays a crucial role in architecting high performance and energy efficient on-chip interconnects, and is the focus of this section.
This chapter introduces a novel unified buffer structure – the dynamic Virtual Channel Regulator (ViChaR) – which dynamically allocates buffer resources according to network conditions.
Keywords
These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.
This is a preview of subscription content, log in via an institution.
Buying options
Tax calculation will be finalised at checkout
Purchases are for personal use only
Learn about institutional subscriptionsNotes
- 1.
The name ViChaR was intentionally chosen to echo the word Vicar, who is someone acting as a substitute or agent for a superior.
References
W. J. Dally and B. Towles, “Route Packets, Not Wires: On-Chip Interconnection Networks,” in Proceedings of the Design Automation Conference (DAC), 2001.
W. Hangsheng, L. S. Peh, and S. Malik, “Power-driven design of router microarchitectures in on-chip networks,” in Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 105–116, 2003.
R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 188-197, 2004.
L. S. Peh and W. J. Dally, “A delay model and speculative architecture for pipelined routers,” in Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA), pp. 255-266, 2001.
C. Xuning and L. S. Peh, “Leakage power modeling and optimization in interconnection networks,” in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pp. 90-95, 2003.
T. T. Ye, L. Benini, and G. De Micheli, “Analysis of power consumption on switch fabrics in network routers,” in Proceedings of the 39th Design Automation Conference (DAC), pp. 524-529, 2002.
G. Varatkar and R. Marculescu, “Traffic analysis for on-chip networks design of multimedia applications,” in Proceedings of the 39th Design Automation Conference (DAC), pp. 795-800, 2002.
H. Jingcao and R. Marculescu, “Application-specific buffer space allocation for networks-on-chip router design,” in Proceedings of the International Conference on Computer-Aided Design (ICCAD), pp. 354-361, 2004.
L. S. Peh and W. J. Dally, “A delay model for router microarchitectures,” IEEE Micro, vol. 21, pp. 26-34, 2001.
W. J. Dally and C. L. Seitz, “The torus routing chip,” In Journal of Distributed Computing, vol. 1(3), pp. 187-196, 1986.
P. Kermani and L. Kleinrock, “Virtual cut-through: a new computer communication switching technique,” Computer Networks, vol. 3(4), pp. 267-286, 1979.
W. J. Dally, “Virtual-channel flow control,” in Proceedings of the 17th Annual International Symposium on Computer Architecture (ISCA), pp. 60-68, 1990.
W. J. Dally and C. L. Seitz, “Deadlock-free message routing in multiprocessor interconnection networks,” IEEE Transactions on Computers, vol. C-36(5), pp. 547-553, 1987.
Y. M. Boura and C. R. Das, “Performance analysis of buffering schemes in wormhole routers,” IEEE Transactions on Computers, vol. 46, pp. 687-694, 1997.
M. Rezazad and H. Sarbazi-azad, “The effect of virtual channel organization on the performance of interconnection networks,” in Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
Y. Tamir and G. L. Frazier, “High-performance multiqueue buffers for VLSI communication switches,” in Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA), pp. 343-354, 1988.
G. L. Frazier and Y. Tamir, “The design and implementation of a multiqueue buffer for VLSI communication switches,” in Proceedings of the IEEE International Conference on Computer Design (ICCD), pp. 466-471, 1989.
J. Park, B. W. O’Krafka, S. Vassiliadis, and J. Delgado-Frias, “Design and evaluation of a DAMQ multiprocessor network with self-compacting buffers,” in Proceedings of Supercomputing, pp. 713-722, 1994.
N. Ni, M. Pirvu, and L. Bhuyan, “Circular buffered switch design with wormhole routing and virtual channels,” in Proceedings of the International Conference on Computer Design (ICCD), pp. 466-473, 1998.
Y. Choi and T. M. Pinkston, “Evaluation of queue designs for true fully adaptive routers,” in Journal of Parallel and Distributed Computing, vol. 64(5), pp. 606-616, 2004.
S. Konstantinidou and L. Snyder, “The Chaos router,” IEEE Transactions on Computers, vol. 43, pp. 1386-1397, 1994.
M. Thottethodi, A. R. Lebeck, and S. S. Mukherjee, “BLAM: a high-performance routing algorithm for virtual cut-through networks,” in Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS), pp. 10 pp., 2003.
A. V. Yakovlev, A. M. Koelmans, and L. Lavagno, “High-level modeling and design of asynchronous interface logic,” IEEE Design & Test of Computers, vol. 12, pp. 32-40, 1995.
H. Jingcao and R. Marculescu, “Energy- and performance-aware mapping for regular NoC architectures,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, pp. 551-562, 2005.
W. Hangsheng, L. S. Peh, and S. Malik, “A technology-aware and energy-oriented topology exploration for on-chip networks,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 1238-1243 Vol. 2, 2005.
J. Duato, “A new theory of deadlock-free adaptive routing in wormhole networks,” in IEEE Transactions on Parallel and Distributed Systems, vol. 4, pp. 1320-1331, 1993.
S. Arjun, W. J. Dally, A. K. Gupta, and B. Towles, “GOAL: a load-balanced adaptive routing algorithm for torus networks,” in Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 194-205, 2003.
Author information
Authors and Affiliations
Corresponding author
Rights and permissions
Copyright information
© 2009 Springer Science+Business Media B.V.
About this chapter
Cite this chapter
Nicopoulos, C., Narayanan, V., Das, C.R. (2009). ViChaR: A Dynamic Virtual Channel Regulator for NoC Routers [39]. In: Network-on-Chip Architectures. Lecture Notes in Electrical Engineering, vol 45. Springer, Dordrecht. https://doi.org/10.1007/978-90-481-3031-3_3
Download citation
DOI: https://doi.org/10.1007/978-90-481-3031-3_3
Published:
Publisher Name: Springer, Dordrecht
Print ISBN: 978-90-481-3030-6
Online ISBN: 978-90-481-3031-3
eBook Packages: EngineeringEngineering (R0)