Skip to main content

Semiconductor Nanowire as a Nanoelectronics Platform

  • Chapter
  • First Online:

Part of the book series: NanoScience and Technology ((NANO))

Abstract

Bottom-up approach to nanowire synthesis using vapour-liquid-solid technique is outlined. Pros and cons of this approach with top-down paradigms are highlighted. Using silicon nanowires, the fabrication of P-N junction diodes, bipolar and field-effect transistors as well as complementary inverters is described. Fabrication and operation of P-channel Ge/Si heterostructure and N-channel GaN/AlN/AlGaN heterostructure nanowire transistors is discussed. Placement of nanowires at desired locations and their interconnections to form logic circuits is addressed. Cross-bar architecture is an accepted structure, which has rendered possible the gainful utilization of the unique properties of nanowires. The nanowires can act as versatile building blocks for the assembly of nanoelectronic circuits.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Mikolajick T, Weber WM (2015) Silicon nanowires: fabrication and applications. In: Li Q (ed) Anisotropic nanomaterials: preparation, properties and applications, nanoscience and technology. Springer International Publishing, Switzerland, pp 1–25

    Chapter  Google Scholar 

  2. Wagner RS, Ellis WC (1964) Vapor-liquid-solid mechanism of single crystal growth. Appl Phys Lett 4(5):89–90

    Article  Google Scholar 

  3. Cui Y, Lauhon LJ, Gudiksen MS et al (2001) Diameter-controlled synthesis of single-crystal silicon nanowires. Appl Phys Lett 78(15):2214–2216

    Article  Google Scholar 

  4. Cui Y, Duan X, Hu J et al (2000) Doping and electrical transport in silicon nanowires. J Phys Chem 104(22):5213–5216

    Article  Google Scholar 

  5. Cui Y, Lieber CM (2001) Functional nanoscale electronic devices assembled using silicon nanowire building blocks. Science 291:851–853

    Article  Google Scholar 

  6. Cui Y, Zhong Z, Wang D et al (2003) High performance silicon nanowire field effect transistors. Nano Lett 3(2):149–152

    Article  Google Scholar 

  7. Lu W, Xiang J, Timko BP et al (2005) One-dimensional hole gas in germanium/silicon nanowire heterostructures. PNAS 102(29):10046–10051

    Article  Google Scholar 

  8. Hu Y, Xiang J, Liang G et al (2008) Sub-100 Nanometer channel length Ge/Si nanowire transistors with potential for 2 THz switching speed. Nano Lett 8(3):925–930

    Article  Google Scholar 

  9. Li Y, Xiang J, Qian F et al (2006) Dopant-free GaN/AlN/AlGaN radial nanowire heterostructures as high electron mobility transistors. Nano Lett 6(7):1468–1473

    Article  Google Scholar 

  10. Lu W, Xie P, Lieber CM (2008) Nanowire transistor performance limits and applications. IEEE Trans Electron Devices 55(11):2839–2876

    Article  Google Scholar 

  11. Huang Y, Duan X, Cui Y et al (2001) Logic gates and computation from assembled nanowire building blocks. Science 294:1313–1317

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vinod Kumar Khanna .

Review Exercises

Review Exercises

  1. 15.1

    Why are nanowires said to be one-dimensional materials although they have both length and diameter dimensions.

  2. 15.2

    Bring out the pros and cons of bottom-up and top-down paradigms for synthesizing semiconductor nanowires. Why is a hybrid approach using both paradigms advisable for practical use?

  3. 15.3

    Explain the vapor–liquid–solid method of nanowire growth and justify the term “VLS.” On what factors do the diameter and location of the nanowire depend? Why is a high-temperature environment such as provided by laser ablation necessary?

  4. 15.4

    How are silicon nanowires formed by laser-assisted catalytic growth ? How are they doped P- and N-type?

  5. 15.5

    How are P-N junction diodes and bipolar transistors made from crossed nanowires? How are the nanowires handled during assembly? How are they viewed?

  6. 15.6

    How do nanowire FETs differ from conventional MOSFETs regarding the contact regions? Are complementary inverters implementable using P- and N-channel nanowire transistors?

  7. 15.7

    How is a 1-D hole gas formed in a Ge/Si core/shell nanowire heterostructure ? How is such a transistor fabricated?

  8. 15.8

    How is a 1-D electron gas formed in a GaN/AlN/AlGaN heterostructure transistor? Explain the fabrication of this transistor.

  9. 15.9

    Describe three methods of organizing nanowires into arrays. Name a pattern commonly used to make active devices with nanowires.

  10. 15.10

    Point out a few advantages of semiconductor nanowires as opposed to carbon nanotubes as a nanoelectronic building block.

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer India

About this chapter

Cite this chapter

Khanna, V.K. (2016). Semiconductor Nanowire as a Nanoelectronics Platform. In: Integrated Nanoelectronics. NanoScience and Technology. Springer, New Delhi. https://doi.org/10.1007/978-81-322-3625-2_15

Download citation

Publish with us

Policies and ethics