Skip to main content

Characterization Methods for BTI Degradation and Associated Gate Insulator Defects

  • Chapter
  • First Online:

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 52))

Abstract

In this chapter, different characterization methods are discussed to determine BTI degradation of MOSFET parameters and to directly estimate the pre-existing and generated gate insulator defects responsible for BTI. V T shift is obtained from full I DV G sweeps and also from spot I D measurements at fixed V G; one spot measurements are performed either on-the-fly at stress V G or by dropping down to a lower V G from stress. Impact of measurement delay and mobility degradation on V T extracted from different methods is discussed. Flicker noise method is used to access the density of pre-existing defects for different gate insulator processes. Gated diode or DCIV, charge pumping (CP) and low voltage SILC methods are used to determine trap generation at or near the interface between Si channel and gate insulator. Conventional SILC is used to estimate generation of bulk gate insulator defects. Different artifacts related to improper choice of stress bias and measurement delay are discussed.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    For NBTI stress, low and high values correspond to the magnitude of gate pulse.

References

  1. N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai, T. Horiuchi, The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling, in Symposium on VLSI Technology: Digest of Technical Papers (1999), p. 73

    Google Scholar 

  2. M. Ershov, S. Saxena, H. Karbasi, S. Winters, S. Minehane, J. Babcock, R. Lindley, P. Clifton, M. Redford, A. Shibkov, Dynamic recovery of negative bias temperature instability in p-type metal–oxide–semiconductor field-effect transistors. Appl. Phys. Lett. 83, 1647 (2003)

    Article  Google Scholar 

  3. S. Rangan, N. Mielke, E.C.C. Yeh, Universal recovery behavior of negative bias temperature instability [PMOSFETs], in IEEE International Electron Devices Meeting Technical Digest (2003), p. 14.3.1

    Google Scholar 

  4. H. Reisinger, O. Blank, W. Heinrigs, A. Muhlhoff, W. Gustin, C. Schlunder, Analysis of NBTI degradation- and recovery-behavior based on ultra fast VT-measurements, in IEEE International Reliability Physics Symposium Proceedings (2006), p. 448

    Google Scholar 

  5. E.N. Kumar, V.D. Maheta, S. Purawat, A.E. Islam, C. Olsen, K. Ahmed, M.A. Alam, S. Mahapatra, Material dependence of NBTI physical mechanism in silicon oxynitride (SiON) p-MOSFETs: a comprehensive study by ultra-fast on-the-fly (UF-OTF) IDLIN technique, in IEEE International Electron Devices Meeting Technical Digest (2007), p. 809

    Google Scholar 

  6. C. Shen, M.-F. Li, C.E. Foo, T. Yang, D.M. Huang, A. Yap, G.S. Samudra, Y.-C. Yeo, Characterization and physical origin of fast Vth transient in NBTI of pMOSFETs with SiON dielectric, in IEEE International Electron Devices Meeting Technical Digest (2006). doi:10.1109/IEDM.2006.346776

  7. A. Kerber, M. Kerber, Fast wafer level data acquisition for reliability characterization of sub-100 nm CMOS technologies. IEEE International Integrated Reliability Workshop Final Report (2004), p. 41

    Google Scholar 

  8. V.D. Maheta, E.N. Kumar, S. Purawat, C. Olsen, K. Ahmed, S. Mahapatra, Development of an ultrafast on-the-fly IDLIN technique to study NBTI in plasma and thermal oxynitride p-MOSFETs. IEEE Trans. Electron Devices 55, 2614 (2008)

    Article  Google Scholar 

  9. S. Mahapatra, K. Ahmed, D. Varghese, A.E. Islam, G. Gupta, L. Madhav, D. Saha, M.A. Alam, On the physical mechanism of NBTI in silicon oxynitride p-MOSFETs: can differences in insulator processing conditions resolve the interface trap generation versus hole trapping controversy?, in IEEE International Reliability Physics Symposium Proceedings (2007), p. 1

    Google Scholar 

  10. A. Chaudhary, S. Mahapatra, A physical and SPICE mobility degradation analysis for NBTI. IEEE Trans. Electron Devices 60, 2096 (2013)

    Article  Google Scholar 

  11. M. Denais, A. Bravaix, V. Huard, C. Parthasarathy, G. Ribes, F. Perrier, Y. Rey-Tauriac, N. Revil, On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET’s, in IEEE International Electron Devices Meeting Technical Digest (2004), p. 109

    Google Scholar 

  12. A.E. Islam, V.D. Maheta, H. Das, S. Mahapatra, M. A. Alam, Mobility degradation due to interface traps in plasma oxynitride PMOS devices, in IEEE International Reliability Physics Symposium Proceedings (2008), p. 87

    Google Scholar 

  13. V.D. Maheta, C. Olsen, K. Ahmed, S. Mahapatra, The impact of nitrogen engineering in silicon oxynitride gate dielectric on negative-bias temperature instability of p-MOSFETs: a study by ultrafast on-the-fly IDLIN technique. IEEE Trans. Electron Devices 55, 1630 (2008)

    Article  Google Scholar 

  14. S. Mahapatra, P. Bharath Kumar, M.A. Alam, Investigation and modeling of interface and bulk trap generation during negative bias temperature instability of p-MOSFETs”. IEEE Trans. Electron Devices 51, 1371 (2004)

    Article  Google Scholar 

  15. Y. Taur, T.H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, Cambridge)

    Google Scholar 

  16. N. Goel, N. Nanaware, S. Mahapatra, Ultrafast AC–DC NBTI characterization of deep IL scaled HKMG p-MOSFETs. IEEE Electron Device Lett. 34, 1476 (2013)

    Article  Google Scholar 

  17. N. Goel, K. Joshi, S. Mukhopadhyay, N. Nanaware, S. Mahapatra, A comprehensive modeling framework for gate stack process dependence of DC and AC NBTI in SiON and HKMG p-MOSFETs. Microelectron. Reliab. 54, 491 (2014)

    Article  Google Scholar 

  18. N. Goel, S. Mukhopadhyay, N. Nanaware, S. De, R. K. Pandey, K.V.R.M. Murali, S. Mahapatra, A comprehensive DC/AC model for ultra-fast NBTI in deep EOT scaled HKMG p-MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2014), p. 6A.4.1

    Google Scholar 

  19. S. Mukhopadhyay, Private communication

    Google Scholar 

  20. B. Kaczer, V. Arkbipov, R. Degraeve, N. Collaert, G. Groeseneken, M. Goodwin, Disorder-controlled-kinetics model for negative bias temperature instability and its experimental verification, in IEEE International Reliability Physics Symposium Proceedings (2005), p. 381

    Google Scholar 

  21. B. Kaczer, T. Grasser, J. Roussel, J. Martin-Martinez, R. O’Connor, B.J. O’Sullivan, G. Groeseneken, Ubiquitous relaxation in BTI stressing—new evaluation and insights, in IEEE International Reliability Physics Symposium Proceedings (2008), p. 20

    Google Scholar 

  22. D. Varghese, D. Saha, S. Mahapatra, K. Ahmed, F. Nouri, M. Alam, On the dispersive versus Arrhenius temperature activation of NBTI time evolution in plasma nitrided gate oxides: measurements, theory, and implications, in IEEE International Electron Devices Meeting Technical Digest (2005), p. 684

    Google Scholar 

  23. S. Deora, P. Narayanasetti, M. Thakkar, S. Mahapatra, Development of a novel ultrafast direct threshold voltage (UF-DVT) technique to study NBTI stress and recovery. IEEE Trans. Electron Devices 58, 3506 (2011)

    Article  Google Scholar 

  24. K. Joshi, S. Mukhopadhyay, N. Goel, S. Mahapatra, A consistent physical framework for N and P BTI in HKMG MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2012), p. 5A.3.1

    Google Scholar 

  25. G. Kapila, N. Goyal, V.D. Maheta, C. Olsen, K. Ahmed, S. Mahapatra, A comprehensive study of flicker noise in plasma nitrided SiON p-MOSFETs: process dependence of pre-existing and NBTI stress generated trap distribution profiles. , in IEEE International Electron Devices Meeting Technical Digest (2008). doi:10.1109/IEDM.2008.4796625

  26. S. Christensson, I. Lundström, C. Svensson, Low frequency noise in MOS transistors—I theory. Solid State Electron. 11, 797 (1968)

    Article  Google Scholar 

  27. F. Horng-Sen, C.-T. Sah, Theory and experiments on surface 1/f noise. IEEE Trans. Electron Devices 19, 273 (1972)

    Article  Google Scholar 

  28. Z. Celik, T.Y. Hsiang, Study of 1/f noise in N-MOSFET’s: Linear region. IEEE Trans. Electron Devices 32, 2797 (1985)

    Article  Google Scholar 

  29. L.K.J. Vandamme, Model for 1/f; noise in MOS transistors biased in the linear region. Solid State Electron. 23, 317 (1980)

    Article  Google Scholar 

  30. L.K.J. Vandamme, H.M.M. de Werd, 1/f; noise model for MOSTs biased in nonohmic region. Solid State Electron. 23, 325 (1980)

    Article  Google Scholar 

  31. A.L. McWhorter, 1/f noise and germanium surface properties, in Semiconductor Surface Physics (University of Pennsylvania Press, Philadelphia, 1957), p. 207

    Google Scholar 

  32. G. Abowitz, E. Arnold, E.A. Leventhal, Surface states and 1/f noise in MOS transistors. IEEE Trans. Electron Devices 14, 775 (1967)

    Article  Google Scholar 

  33. H.E. Maes, S.H. Usmani, G. Groeseneken, Correlation between 1/f noise and interface state density at the Fermi level in field-effect transistors. J. Appl. Phys. 57, 4811 (1985)

    Article  Google Scholar 

  34. F.N. Hooge, 1/f noise. Phys. B + C 83, 14 (1976)

    Article  Google Scholar 

  35. R.P. Jindal, Phonon fluctuation model for flicker noise in elemental semiconductors. J. Appl. Phys. 52, 2884 (1981)

    Article  Google Scholar 

  36. V. Huard, Two independent components modeling for negative bias temperature instability, in IEEE International Reliability Physics Symposium Proceedings (2010), p. 33

    Google Scholar 

  37. K. Joshi, S. Hung, S. Mukhopadhyay, V. Chaudhary, N. Nanaware, B. Rajamohnan, T. Sato, M. Bevan, A. Wei, A. Noori, B. McDougal, C. Ni, G. Saheli, C. Lazik, P. Liu, D. Chu, L. Date, S. Datta, A. Brand, J. Swenberg, S. Mahapatra, HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation, in IEEE International Reliability Physics Symposium Proceedings (2013), p. 4C.2.1

    Google Scholar 

  38. S. Mukhopadhyay, K. Joshi, V. Chaudhary, N. Goel, S. De, R.K. Pandey, K.V.R.M. Murali, S. Mahapatra, Trap generation in IL and HK layers during BTI / TDDB stress in scaled HKMG N and P MOSFETs, in IEEE International Reliability Physics Symposium Proceedings (2014), p. GD.3.1

    Google Scholar 

  39. S. Machlup, Noise in semiconductors: spectrum of a two-parameter random signal. J. Appl. Phys. 25, 341 (1954)

    Article  MATH  Google Scholar 

  40. K.K. Hung, P.K. Ko, C. Hu, Y.C. Cheng, A unified model for the flicker noise in metal-oxide-semiconductor field-effect transistors. IEEE Trans. Electron Devices 37, 654 (1990)

    Article  Google Scholar 

  41. T. Grasser, B. Kaczer, W. Goes, T. Aichinger, P. Hehenberger, M. Nelhiebel, Understanding negative bias temperature instability in the context of hole trapping (Invited Paper). Microelectron. Eng. 86, 1876 (2009)

    Article  Google Scholar 

  42. H. Reisinger, T. Grasser, W. Gustin, C. Schlunder, The statistical analysis of individual defects constituting NBTI and its implications for modeling DC- and AC-stress, in IEEE International Reliability Physics Symposium Proceedings (2010), p. 7

    Google Scholar 

  43. S. Zafar, A. Kerber, R. Muralidhar, Physics based PBTI model for accelerated estimation of 10 year lifetime, in Symposium on VLSI Technology: Digest of Technical Papers (2014). doi:10.1109/VLSIT.2014.6894388

  44. B. Kaczer, T. Grasser, J. Martin-Martinez, E. Simoen, M. Aoulaiche, P.J. Roussel, G. Groeseneken, NBTI from the perspective of defect states with widely distributed time scales, in IEEE International Reliability Physics Symposium Proceedings (2009), p. 55

    Google Scholar 

  45. G. Groeseneken, H.E. Maes, N. Beltran, R.F. De Keersmaecker, A reliable approach to charge-pumping measurements in MOS transistors. IEEE Trans. Electron Devices 31, 42 (1984)

    Article  Google Scholar 

  46. Y. Mitani, “Influence of nitrogen in ultra-thin SiON on negative bias temperature instability under AC stress, in IEEE International Electron Devices Meeting Technical Digest (2004), p. 117

    Google Scholar 

  47. S. Mahapatra, V.D. Maheta, A.E. Islam, M.A. Alam, Isolation of NBTI stress generated interface trap and hole-trapping components in PNO p-MOSFETs. IEEE Trans. Electron Devices 56, 236 (2009)

    Article  Google Scholar 

  48. S. Mahapatra, A.E. Islam, S. Deora, V.D. Maheta, K. Joshi, A. Jain, M.A. Alam, A critical re-evaluation of the usefulness of R-D framework in predicting NBTI stress and recovery, in IEEE International Reliability Physics Symposium Proceedings (2011), p. 6A.3.1

    Google Scholar 

  49. M. Zahid, R. Degraeve, M. Cho, L. Pantisano, D.R. Aguado, J. Van. Houdt, G. Groeseneken, M. Jurczak, Deffect profiling in the SiO2/Al2O3 interface using Variable T charge-T discharge amplitude charge pumping (VT2ACP), in IEEE International Reliability Physics Symposium Proceedings (2009), p. 21

    Google Scholar 

  50. M. Masuduzzaman, A.E. Islam, M.A. Alam, Exploring the capability of multi frequency charge pumping in resolving location and energy levels of traps within dielectric. IEEE Trans. Electron Devices 55, 3421 (2008)

    Article  Google Scholar 

  51. W.J. Liu, Z.Y. Liu, D. Huang, C.C. Liao, L.F. Zhang, Z.H. Gan, W. Wong, C. Shen, M.-F. Li, On-the-fly interface trap measurement and its impact on the understanding of NBTI mechanism for p-MOSFETs with SiON gate dielectric, in IEEE International Electron Devices Meeting Technical Digest (2007), p. 813

    Google Scholar 

  52. D.S. Ang, Z.Q. Teo, C.M. Ng, Reassessing NBTI mechanisms by ultrafast charge pumping measurement. ieee international integrated reliability workshop final report (2009), p. 25

    Google Scholar 

  53. S.S. Chung, S.-J. Chen, C.-K. Yang, S.-M. Cheng, S.-H. Lin, Y.-C. Sheng, H.-S. Lin, K.-T. Hung, D.-Y. Wu, T.-R. Yew, S.-C. Chien, F.-T. Liou, F. Wen, A novel and direct determination of the interface traps in sub-100 nm CMOS devices with direct tunneling regime (12 ~ 16 A) gate oxide, in Symposium on VLSI Technology. Digest of Technical Papers (2002), p. 74

    Google Scholar 

  54. T. Grasser, W. Gos, V. Sverdlov, B. Kaczer, The universality of NBTI relaxation and its implications for modeling and characterization, in IEEE International Reliability Physics Symposium Proceedings (2007), p. 268

    Google Scholar 

  55. J. Franco, B. Kaczer, J. Mitard, M. Toledano-Luque, P.J. Roussel, L. Witters, T. Grasser, G. Groeseneken, NBTI Reliability of SiGe and Ge channel pMOSFETs With SiO2/HfO2 dielectric stack. IEEE Trans. Device Mater. Reliab. 13, 497 (2013)

    Article  Google Scholar 

  56. J. Cai, R.-Y. Sah, Monitoring interface traps by DCIV method. IEEE Electron Device Lett. 20, 60 (1999)

    Article  Google Scholar 

  57. A. Neugroschel, G. Bersuker, R. Choi, Applications of DCIV method to NBTI characterization. Microelectron. Reliab. 47, 1366 (2007)

    Article  Google Scholar 

  58. J.H. Stathis, G. LaRosa, A. Chou, Broad energy distribution of NBTI-induced interface states in p-MOSFETs with ultra-thin nitrided oxide, in IEEE International Reliability Physics Symposium Proceedings (2004). doi:10.1109/RELPHY.2004.1315292

  59. J.P. Campbell, P.M. Lenahan, A.T. Krishnan, S. Krishnan, NBTI: an atomic-scale defect perspective, in IEEE International Reliability Physics Symposium Proceedings (2006), p. 447

    Google Scholar 

  60. S. Mahapatra, N. Goel, S. Desai, S. Gupta, B. Jose, S. Mukhopadhyay, K. Joshi, A. Jain, A.E. Islam, M.A. Alam, A comparative study of different physics-based NBTI models. IEEE Trans. Electron Devices 60, 901 (2013)

    Article  Google Scholar 

  61. W. Shockley, W. Read, Statistics of the recombinations of holes and electrons. Phys. Rev. 87, 835 (1952)

    Article  MATH  Google Scholar 

  62. D.J. Fitzgerald, A.S. Grove, Surface recombination in semiconductors. Surf. Sci. 9, 347 (1968)

    Article  Google Scholar 

  63. M.A. Alam, SILC as a measure of trap generation and predictor of TBD in ultrathin oxides. IEEE Trans. Electron Devices 49, 226 (2002)

    Article  Google Scholar 

  64. S. Pae, T. Ghani, M. Hattendorf, J. Hicks, J. Jopling, J. Maiz, K. Mistry, J. O’Donnell, C. Prasad, J. Wiedemer, J. Xu, Characterization of SILC and its end-of-life reliability assessment on 45nm high-K and metal-gate technology, in IEEE International Reliability Physics Symposium Proceedings (2009), p. 499

    Google Scholar 

  65. S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St. Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22 nm tri-gate technology, in IEEE International Reliability Physics Symposium Proceedings (2013), p. 4C.5.1

    Google Scholar 

  66. S. Takagi, M. Takayanagi, A. Toriumi, Experimental examination of physical model for direct tunneling current in unstressed/stressed ultrathin gate oxides, in IEEE International Electron Devices Meeting Technical Digest (1999), p. 461

    Google Scholar 

  67. E. Cartier, A. Kerber, Stress-induced leakage current and defect generation in nFETs with HfO2/TiN gate stacks during positive-bias temperature stress, in IEEE International Reliability Physics Symposium Proceedings (2009), p. 486

    Google Scholar 

  68. J. Yang, M. Masuduzzaman, K. Joshi, S. Mukhopadhyay, J. Kang, S. Mahapatra, M.A. Alam, Intrinsic correlation between PBTI and TDDB degradations in nMOS HK/MG dielectrics, in IEEE International Reliability Physics Symposium Proceedings (2012), p. 5D.4.1

    Google Scholar 

  69. A.T. Krishnan, C. Chancellor, S. Chakravarthi, P.E. Nicollian, V. Reddy, A. Varghese, R.B. Khamankar, S. Krishnan, Material dependence of hydrogen diffusion: implications for NBTI degradation, in IEEE International Electron Devices Meeting Technical Digest (2005), p. 688

    Google Scholar 

  70. P.E. Nicollian, Insights on trap generation and breakdown in ultra thin SiO2 and SiON dielectrics from low voltage stress-induced leakage current measurements. Microelectron. Reliab. 48, 1171 (2008)

    Article  Google Scholar 

  71. T. Yang, M.F. Li, C. Shen, C.H. Ang, C. Zhu, Y.C. Yeo, G. Samudra, S.C. Rustagi, M.B. Yu, D.L. Kwong, Fast and slow dynamic NBTI components in p-MOSFET with sion dielectric and their impact on device life-time and circuit application, in Symposium on VLSI Technology: Digest of Technical Papers (2005), p. 92

    Google Scholar 

  72. Y.M. Lin, C.J. Wang, K. Wu, A new finding on NBTI lifetime model and an investigation on NBTI degradation characteristic for 1.2 nm ultra thin oxide, in IEEE International Reliability Physics Symposium Proceedings (2005), p. 704

    Google Scholar 

Download references

Acknowledgments

The authors would like to acknowledge E. Naresh Kumar and Vrajesh Maheta for OTF measurements, Gautam Kapila and Bijesh Rajamohanan for flicker noise measurements, Dhanoop Varghese for CP measurements and Applied Materials for providing devices used in this work.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Souvik Mahapatra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer India

About this chapter

Cite this chapter

Mahapatra, S., Goel, N., Chaudhary, A., Joshi, K., Mukhopadhyay, S. (2016). Characterization Methods for BTI Degradation and Associated Gate Insulator Defects. In: Mahapatra, S. (eds) Fundamentals of Bias Temperature Instability in MOS Transistors. Springer Series in Advanced Microelectronics, vol 52. Springer, New Delhi. https://doi.org/10.1007/978-81-322-2508-9_2

Download citation

  • DOI: https://doi.org/10.1007/978-81-322-2508-9_2

  • Published:

  • Publisher Name: Springer, New Delhi

  • Print ISBN: 978-81-322-2507-2

  • Online ISBN: 978-81-322-2508-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics