Skip to main content

Introduction

  • Chapter
  • First Online:
Low-Power VLSI Circuits and Systems
  • 2861 Accesses

Abstract

This chapter provides an introduction to low-power, very-large-scale-integration (VLSI) circuits and systems, which we intend to present in this book. To put the reader in proper perspective, historical background of the evolution of metal–oxide–semiconductor (MOS) technology is presented. Then, to motivate the reader, need for low-power VLSI circuit realization is emphasized. In order to develop techniques for minimizing power dissipation, it is essential to identify various sources of power dissipation and different parameters involved in it. Various low-power design methodologies to be applied throughout the design process starting from system level to physical or device level to get an effective reduction of power dissipation are briefly introduced.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Pal, A.: Microcontrollers: Principles and Applications, PHI Learning, India (2011)

    Google Scholar 

  2. Raghunathan, A., Jha, N.K., Dey, S.: High-Level Power Analysis and Optimization. Kluwer, Norwell (1998)

    Google Scholar 

  3. Bellamour, A., Elmasri, M.I.: Low Power VLSI CMOS Circuit Design, Kluwer, Norwell (1995)

    Google Scholar 

  4. Chandrakasan, A.P., Brodersen, R.W.: Low Power Digital CMOS Design, Kluwer, Boston (1995)

    Google Scholar 

  5. Roy, K., Mukhopadhyay, S., Mahmooddi-Meimand, H.: Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits, Proceedings of the IEEE, vol.91, no. 2, pp. 305–327 (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ajit Pal .

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer India

About this chapter

Cite this chapter

Pal, A. (2015). Introduction. In: Low-Power VLSI Circuits and Systems. Springer, New Delhi. https://doi.org/10.1007/978-81-322-1937-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-81-322-1937-8_1

  • Published:

  • Publisher Name: Springer, New Delhi

  • Print ISBN: 978-81-322-1936-1

  • Online ISBN: 978-81-322-1937-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics