Skip to main content

Variations in Device Characteristics

  • Chapter
  • First Online:
VLSI Design and Test for Systems Dependability

Abstract

Ever increasing variability in device characteristics is a major threat to the dependability, since it could give rise to faults and failures in VLSI circuits and systems. The variability arises from the variation in device parameters , such as geometry and doping densities, that is inherently associated with the technology scaling . This chapter deals with the variability of scaled devices and countermeasures to enhance dependability both at the device and circuit levels. First, in Sect. 5.1, variations in transistor characteristics are overviewed with measured variability from 0.35 μm down to 40 nm technologies. The rapid increase in within-die random variations is clearly shown. Possible scaling scenarios, which are device-level strategies to reduce variability, are explained. In the following sections, we discuss countermeasure techniques at the circuit level. In Sect. 5.2, on-chip monitor circuits for variability measurement and performance compensation by localized body biasing are proposed and verified by silicon measurements. In Sects. 5.3 and 5.4, two techniques for predicting and preventing timing faults during runtime are introduced. The first technique in Sect. 5.3 relies on accurate delay-time measurement by an on-chip monitor circuit. Timing margins reduced by aging effects such as negative-bias-temperature instability (NBTI) can be evaluated and compensated. The second technique in Sect. 5.4 proposes a warning flip-flop that can predict possible timing errors before they actually happen, thus enables dependable operation throughout the whole life cycle of the circuit. Finally in Sect. 5.5, variability-aware circuit architectures are discussed for Static Random Access Memories (SRAMs). The proposed SRAM achieves expanded operating margins by fine-grain assist bias control at low supply voltages.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Part of this work was done while the author was with Fukuoka University, Japan.

  2. 2.

    Part of this work was done while the author was with Kyushu University, Japan.

References

  1. J.K. Kuhn et al., Process technology variation. IEEE Trans. Electron Devices 58(8), 2197–2208 (2011)

    Article  Google Scholar 

  2. H. Onodera, Variability modeling and impact on design, in Proceedings of IEDM, pp. 701–704, Dec 2008

    Google Scholar 

  3. H. Onodera, H. Terada, Characterization of WID delay variability using RO-array test structure, in Proceedings of ASICON, pp. 658–661, Oct 2009

    Google Scholar 

  4. M. Pelgrom et al., Matching properties of MOS transistors. IEEE J. Solid-State Circ. 24(5), 1433–1440 (1989)

    Article  Google Scholar 

  5. K. Itoh, Adaptive circuits for the 0.5-V nanoscale CMOS era, in IEEE ISSCC Digest of Technical Papers, pp. 14–20, Feb 2009

    Google Scholar 

  6. S. Dighe et al., Within-die variation-aware dynamic-voltage-frequency-scaling with optimal core allocation and thread hopping for the 80-core teraFLOPS processor. IEEE J. Solid-State Circ. 46(1), 184–193 (2011)

    Article  Google Scholar 

  7. M. Floyd et al., Introducing the adaptive energy management features of the power 7 chip. IEEE Micro 21(2), 60–75 (2011)

    Article  Google Scholar 

  8. N. Kamae et al., A body bias generator compatible with cell-based design flow for within-die variability compensation, in Proceedings of ASSCC, pp. 389–392, Nov 2012

    Google Scholar 

  9. A built-in self-adjustment scheme with adaptive body bias using P/N-sensitive digital monitor circuits. in Proceedings of ASSCC, pp. 101–104, Nov 2012

    Google Scholar 

  10. X. Lu, Z. Li, W. Qiu, D.M.H. Walker, W. Shi, PARADE: parametric delay evaluation under process variation, in Proceedings of International Symposium on Quality Electronic Design, pp. 276–280, Mar 2004

    Google Scholar 

  11. W. Wang, V. Reddy, A.T. Krishnan, R. Vattikonda, S. Krishnan, Y. Cao, Compact modeling and simulation of circuit reliability for 65-nm CMOS technology. IEEE Trans. Device Mater. Reliab. 7(4), 509–517 (2007)

    Article  Google Scholar 

  12. T.E. Rahkonen, J.T. Kostamovaar, The use of stabilized CMOS delay lines for the digitization of short time intervals. IEEE J. Solid-State Circ. 28(8), 887–894 (1993)

    Article  Google Scholar 

  13. R. Datta, A. Sebastine, A. Raghunathan, J.A. Abraham, On-chip delay measurement for silicon debug, in Proceedings of Great Lakes Symposium of VLSI, pp. 145–148, Apr 2004

    Google Scholar 

  14. K. Arabi, H. Ihs, C. Dufaza, B. Kaminska, Dynamic digital integrated circuit testing using oscillation-test method. Electron. Lett. 34(4), 762–764 (1998)

    Article  Google Scholar 

  15. X. Wang, M. Tehranipoor, R. Datta, Path-RO: a novel on-chip critical path delay measurement under process variations, in Proceedings of International Conference on Computer-Aided Design, pp. 640–646, Nov 2008

    Google Scholar 

  16. D. Ernst, N.S. Kim, S. Das, S. Pant, T. Pham, R. Rao, C. Ziesler, D. Blaauw, T. Austin, T. Mudge, K. Flautner, Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings International Symposium on Microarchitecture, pp. 7–18, Dec 2003

    Google Scholar 

  17. T. Sato, Y. Kunitake, A simple flip-flop circuit for typical-case designs for DFM, in Proceedings of International Symposium on Quality Electronic Design, pp. 539–544, Mar 2007

    Google Scholar 

  18. B.I. Dervisoglu, G.E. Stong, Design for testability: using scan path techniques for path-delay test and measurement, in Proceedings of International Test Conference, pp. 365–374, Oct 1991

    Google Scholar 

  19. S. Jin, Y. Han, H. Li, X. Li, Unified capture scheme for small delay defect detection and aging prediction. IEEE Trans. Very Large Scale Integr. Syst. 21(5), 821–833 (2013)

    Article  Google Scholar 

  20. S. Tam, S. Rusu, U.N. Desai, R. Kim, J. Zhang, I. Young, Clock generation and distribution for the first IA-64 microprocessor. IEEE J. Solid-State Circ. 35(11), 1545–1552 (2000)

    Article  Google Scholar 

  21. T. Xanthopoulos (ed.), Clocking in Modern VLSI Systems (Springer, New York, 2009)

    Google Scholar 

  22. Y. Sato, S. Kajihara, T. Yoneda, K. Hatayama, M. Inoue, Y. Miura, S. Ohtake, T. Hasegawa, M. Sato, K. Shimamura, DART: dependable VLSI test architecture and its implementation, in Proceedings of International Test Conference, 15.2, Nov 2012

    Google Scholar 

  23. Y. Miura, Y. Sato, Y. Miyake, S. Kajihara, On-chip temperature and voltage measurement for field testing, in Proceedings of European Test Symposium, p. 181, May 2012

    Google Scholar 

  24. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi V. De, Parameter variations and impact on circuits and microarchitecture, in 40th Design Automation Conference, pp. 338–342, June 2003

    Google Scholar 

  25. O. Unsal, J. Tschanz, K. Bowman, V. De, X. Vera, A. Gonzales, O. Ergin, Impact of parameter variations on circuits and microarchitecture. IEEE Micro 26(6), 30–39 (2006)

    Article  Google Scholar 

  26. M. Agarwal, B.C. Paul, M. Zhang, S. Mitra, Circuit failure prediction and its application to transistor aging, in 25th VLSI Test Symposium, pp. 227–286, May 2007

    Google Scholar 

  27. S. Mitra, N. Seifert, M. Zhang, Q. Shi, K.S. Kim, Robust system design with built-in soft-error resilience. IEEE Comput. 38(2), 43–52 (2005)

    Article  Google Scholar 

  28. T. Nakura, K. Nose, M. Mizuno: Fine-grain redundant logic using defect-prediction flip-flops, in IEEE ISSCC Digest of Technical Papers, pp. 402–402, Feb 2007

    Google Scholar 

  29. M. Nicolaidis, Time redundancy based soft-error tolerance to rescue nanometer technologies, in 17th VLSI Test Symposium, pp. 86–94, Apr 1999

    Google Scholar 

  30. T. Sato, Y. Kunitake, Canary: a variation resilient ff to eliminate design margin for energy reduction. IPSJ J. 49(6), 2029–2042 (2008) (in Japanese)

    Google Scholar 

  31. M. Zhang, T.M. Mak, J. Tschanz, K.S. Kim, N. Seifert, D. Lu, Design for resilience to soft errors and variations, in 13th International On-Line Testing Symposium, pp. 23–28, July 2007

    Google Scholar 

  32. T. Sato, I. Arita, in Constructive Timing Violation for Improving Energy Efficiency, ed. by L. Benini, M. Kandemir, J. Ramanujam. Compilers and Operating Systems for Low Power (Springer, 2003), pp. 137–153

    Google Scholar 

  33. Y. Kunitake, T. Sato, H. Yasuura, T. Hayashida, A selective replacement method for timing-error-predicting flip-flops. J. Circ. Syst. Comput. 21(6), 14 (2012)

    Google Scholar 

  34. K. Yano, T. Hayashida, T. Sato, Improving timing error tolerance without impact on chip area and power consumptions, in 15th International Symposium on Quality Electronic Design,pp. 389–394, Mar 2013

    Google Scholar 

  35. K. Yano, T. Yoshiki, T. Hayashida, T. Sato, An automated design approach of dependable VLSI using improved Canary FF, in 7th International Workshop on Unique Chips and Systems, pp. 34–39, Feb 2012

    Google Scholar 

  36. A. Mizuno, K. Kohno, R. Ohyama, T. Tokuyoshi, H. Uetani, H. Eichel, T. Miyamori, N. Matsumoto, M. Matsui, Design methodology and system for a configurable media embedded processor extensible to VLIW architecture, in International Conference on Computer Design, pp. 2–7, Sept 2002

    Google Scholar 

  37. OpenCores: miniMIPS, http://opencores.org/project,minimips. Accessed 16 Sept 2013

  38. H. Onodera, A. Hirata, T. Kitamura, K. Tamaru, P2lib: process-portable library and its generation system, in Custom Integrated Circuits Conference, pp. 341–44, May 1997

    Google Scholar 

  39. T. Sato, T. Hayashida, K. Yano, Dynamically reducing overestimated design margin of multicores, in 10th International Conference on High Performance Computing & Simulation, pp. 403–409, July 2012

    Google Scholar 

  40. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, 90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique. IEEE J. Solid-State Circ. 41(3), 705–711 (2006)

    Article  Google Scholar 

  41. S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, H. Shinohara, A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circ. 42(4), 820–829 (2007)

    Article  Google Scholar 

  42. M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, A 45 nm low-standby-power embedded SRAM with improved immunity against process and temperature variations, in IEEE ISSCC Digest of Technical Papers, pp. 326–327, Feb 2007

    Google Scholar 

  43. K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, Y. Oda, K. Usui, T. Kawamura, N. Tsuboi, T. Iwasaki, K. Hashimoto, H. Makino, and H. Shinohara, A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment, in Symposium on VLSI Circuits Digest of Technical Papers, pp. 212–213, June 2008

    Google Scholar 

  44. Y. Fujimura, O. Hirabayashi, T. Sasaki, A. Suzuki, A. Kawasumi, Y. Takeyama, K. Kushida, G. Fukano, A. Katayama, Y. Niki, T. Yabe, A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149 um2 cell in 32 nm high-k metal gate CMOS, in IEEE ISSCC Digest of Technical Papers, pp. 348–349, Feb 2010

    Google Scholar 

  45. T. Yabe et al., Circuit techniques to improve disturb and write margin degraded by MOSFET variability in high-density SRAM cells, in Symposium on VLSI Circuits Digest of Technical Papers, June 2011

    Google Scholar 

  46. H. Pilo, I. Arsovsi, K. Batson, G. Braceras, J. Gabric, R. Houle, S. Lamphier, C. Radens, A. Seferagic, A 64 Mb SRAM in 32 nm high-k metal-gate SOI technology with 0.7 V operation enabled by stability, write-ability and read-ability enhancements. IEEE J. Solid-State Circ. 47(1), Jan 2012

    Google Scholar 

  47. E. Seevinck, F.J. List, J. Lohstroh, Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circ. SC-22(5), 748–754 (1987)

    Google Scholar 

  48. R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in IEEE/ACM ICCAD Digest of Technical Papers, pp. 347–352, Nov 2004

    Google Scholar 

  49. M. Khellah, Y. Ye, N. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, V. De, Wordline & bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65 nm CMOS designs, in Symposium VLSI Circuits Digest Technical Papers, pp. 9–10, June 2006

    Google Scholar 

  50. H. Pilo, C. Barwin, G. Braceras, C. Browning, S. Lamphier, F. Towler, An SRAM design in 65-nm technology node featuring read and write-assist circuits to expand operating voltage. IEEE J. Solid-State Circ. 42(4), 813–819 (2007)

    Article  Google Scholar 

  51. M. Yamaoka, K. Osada, T. Kawahara, A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis, in Proceedings of European Solid-State Circuits Conference (ESSCIRC), pp. 286–289, Sep 2008

    Google Scholar 

  52. H. Nho, P. Kolar, F. Hamzaoglu, Y. Wang, E. Karl, Y. Ng, U. Bhattacharya, K. Zhang, A 32 nm high-k metal gate SRAM with adaptive dynamic stability enhancement for low-voltage operation, in IEEE ISSCC Digest of Technical Papers, pp. 346–347, Feb 2010

    Google Scholar 

  53. E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in IEEE ISSCC Digest of Technical Papers, pp. 230–231, Feb 2012

    Google Scholar 

  54. H. Nakano, T. Iwao, T. Hishida, H. Shimomura, T. Izumi, T. Fujino, Y. Okuno, K. Arimoto, An embedded programmable logic matrix (ePLX) for flexible functions on SoC, in IEEE ASSCC Digest of Technical Papers, pp. 219–222, Nov 2006

    Google Scholar 

  55. K. Nii, M. Yabuuchi, H. Fujiwara, H. Nakano, K. Ishihara, H. Kawai, K. Arimoto, Dependable SRAM with enhanced read/write-margins by fine-grained assist bias control for low-voltage operation, in Proceedings of IEEE International SOC Conference, pp. 519–524, Sept 2010

    Google Scholar 

Download references

Acknowledgments

This work was supported in part by JST CREST DVLSI project, by JSPS Grant-in-Aid for Exploratory Research, and by the fund from Central Research Institute of Fukuoka University. It was also supported by VDEC of the University of Tokyo in collaboration with Synopsys Inc., Cadence Design Systems Inc., and Rohm Co. Ltd. The cell library used in this research was developed by Tamaru and Onodera laboratory, Kyoto University and is released by Kazutoshi Kobayashi of Kyoto Institute of Technology. The authors sincerely appreciate Shunitsu Kohara of Toshiba Corporation for helping them use MeP simulator.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hidetoshi Onodera .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Japan KK, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Onodera, H. et al. (2019). Variations in Device Characteristics. In: Asai, S. (eds) VLSI Design and Test for Systems Dependability. Springer, Tokyo. https://doi.org/10.1007/978-4-431-56594-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-4-431-56594-9_5

  • Published:

  • Publisher Name: Springer, Tokyo

  • Print ISBN: 978-4-431-56592-5

  • Online ISBN: 978-4-431-56594-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics