Skip to main content

Radiation-Induced Soft Errors

  • Chapter
  • First Online:
VLSI Design and Test for Systems Dependability

Abstract

We will begin by a quick but thorough look at the effects of fault s, error s and failure s, caused by terrestrial neutron s originating from cosmic rays , on the terrestrial electronic systems in the variety of industries. Mitigation measures, taken at various levels of design hierarchy from physical to systems level against neutron-induced adverse effects, are then introduced. Challenges for retaining robustness under future technology development are also discussed. Such challenges in mitigation approaches are featured for SRAMs (Static Random Access Memories), FFs (Flip-Flops), FPGAs (Field Programmable Gate Arrays) and computer systems as exemplified in the following articles: (i) Layout aware neutron-induced soft-error simulation and fault tolerant design techniques are introduced for 6T SRAMs. The PNP layout instead of conventional NPN layout is proposed and its robustness is demonstrated by using the MONTE CARLO simulator PHITS. (ii) RHBD (Radiation-Hardened By Design) FFs hardened by using specially designed redundant techniques are extensively evaluated. BCDMR (Bistable Cross-Coupled Dual Modular Redundancy) FFs is proposed in order to avoid MCU (Multi-Cell Upset) impacts on FF reliability. Its robustness is demonstrated thorough a set of neutron irradiation tests. (iii) CGRA (Coarse-Grained Reconfigurable Architecture) is proposed for an FPGA-chip-level tolerance. Prototype CGRA-FPGA chips are manufactured and their robustness is demonstrated under alpha particle/neutron irradiation tests. (iv) Simulation techniques for failures in heterogeneous computer system with memory hierarchy consisting of a register file, an L1 cache, an L2 cache and a main memory are also proposed in conjunction with masking effects of faults/errors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. E. Ibe, Terrestrial Radiation Effects in ULSI Devices and Electronic Systems (IEEE Press and Wiley, 2015)

    Google Scholar 

  2. E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, T. Toba, Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. Trans. Electron Devices 57(7), 1527–1538 (2010)

    Article  Google Scholar 

  3. N. Kanekawa, E. Ibe, T. Suga, Y. Uematsu, Dependability in Electronic Systems-Mitigation of Hardware Failures, Soft Errors, and Electro-Magnetic Disturbances (Springer, New York, 2010)

    Google Scholar 

  4. S. Kuboyama, K. Sugimoto, S. Shugyo, S. Matsuda, T. Hirao, Single-event burnout of epitaxial bipolar transistors. Trans. Nucl. Sci. 45(6), 2527–2533 (1998)

    Article  Google Scholar 

  5. http://helios.izmiran.rssi.ru/cosray/main.htm#top. Accessed 14 Feb 2013

  6. T. Nakamura, M. Baba, E. Ibe, Y. Yahagi, H. Kameyama, Terrestrial Neutron-Induced Soft-Errors in Advanced Memory Devices (World Scientific, New Jersey, 2008)

    Book  Google Scholar 

  7. JEDEC, Measurement and reporting of alpha particle and terrestrial COSMIC ray induced soft errors in semiconductor devices. in JEDEC Standard JESD89A, pp. 1–93 (2006)

    Google Scholar 

  8. T. Inoue, H. Henmi, Y. Yoshikawa, H. Ichihara, High-level synthesis for multi-cycle transient fault tolerant data paths. in 17th IEEE International On-line Testing Symposium, vol. 1.3, Athens, Greece, 13–15 July 2011, pp. 13–18

    Google Scholar 

  9. https://repository.exst.jaxa.jp/dspace/bitstream/a-is/19254/1/61889032.pdf

  10. C.S. Walker, Capacitance, Inductance and Crosstalk Analysis (Artech House Antennas and Propagation Library, Altech House Publisher)

    Google Scholar 

  11. http://www.bostonscientific.com/lifebeat-online/electromagnetic-interference.html

  12. K. Ishibashi, K. Osada (eds.), Low Power and Reliable SRAM Memory Cell and Array Design (Springer, 2011)

    Google Scholar 

  13. http://www.opsalacarte.com/pdfs/Tech_Papers/Soft_Error_Trends_and_Mitigation_Techniques_in_Memory_Devices_Presentation_by_Charlie_Slayman,Opsalacarte.pdf

  14. G. Cellere, A. Paccagnella, A. Visconti, M. Bonanomi, S. Beltrami, Single event effects in NAND flash memory arrays. IEEE Trans. Nucl. Sci. 53(4), 1813–1818 (2006)

    Article  Google Scholar 

  15. M. Nicolaidis (ed.), Soft Errors in Modern Electronic Systems (Springer, 2011) pp. 1–239

    Google Scholar 

  16. S. Sayil, N.B. Patel, Soft error and soft delay mitigation using dynamic threshold technique. IEEE Trans. Nucl. Sci. 57(6), 3553–3559 (2010)

    Google Scholar 

  17. D.M. Fleetwood, R.D. Schrimpf (eds.), Radiation effects and soft errors in integrated circuits and electronic devices World Sci. 1–324 (2004)

    Google Scholar 

  18. C. Slayman, M. Ma, S. Lindley, Impact of error correction code and dynamic memory reconfiguration on high-reliability/low-cost server memory. in IEEE International Integrated Reliability Workshop Final Report, pp. 190–193 (2006)

    Google Scholar 

  19. P. Andrei, S. Manoj (eds.), CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies (Springer, 2008) pp. 1–192

    Google Scholar 

  20. http://www.csl.cornell.edu/courses/ece5745/handouts/ece5745-T02-cmos-devices.pdf

  21. http://www.eesemi.com/soi.htm

  22. B. Nikolić1, M. Blagojević, O. Thomas, P. Flatresse, A. Vladimirescu, Circuit design in nanoscale FDSOI technologies. in Proceedings of 29th International Conference on Microelectronics (MIEL 2014), Belgrade, Servia 12–14 May 2014, pp. 3–6

    Google Scholar 

  23. O. Kononchuk, B.-Y. Nguyen (eds.), Silicon-On-Insulator (SOI) Technology: Manufacture and Applications (Elsevier, 2014)

    Google Scholar 

  24. N. Sugii, R. Tsuchiya, T. Ishigaki, Y. Morita, H. Yoshimoto, K. Torii, S. Kimura, Comprehensive study on Vth variability in silicon on thin BOX (SOTB) CMOS with small random-dopant fluctuation: finding a way to further reduce variation, in IEEE International Devices Meeting, San Francisco, 15–17 Dec 2008, pp. 249–253

    Google Scholar 

  25. T.C. May, M.H. Woods, Alpha-Particle-induced soft errors in dynamic memories. IEEE Trans. Elect. Device ED-26(1), 2–9

    Google Scholar 

  26. C. Hu, Alpha-particle-induced field and enhanced collection of carriers. IEEE Elect. Device Lett. EDL-3(2), 31–34 (1982)

    Article  Google Scholar 

  27. https://www.jedec.org/standards-documents/dictionary/terms/single-event-functional-interrupt-sefi

  28. J. Barak, E. Adler, B. Fischer, M. Schlogl, S. Metzger, Micro-beam mapping of single event Latchups and single event upsets in CMOS SRAMs. IEEE Trans. Nucl. Sci. Seattle 45(3), 1595–1602 (1998)

    Article  Google Scholar 

  29. H. Asai, K. Sugimoto, I. Nashiyama, Y. Iide, K. Shiba, M. Matsuda, Y. Miyazaki, Terrestrial neutron-induced single-event burnout in SiC power diodes, in The Conference on Radiation Effects on Components and Systems, vol. (PC-3), Sevilla, Spain, 19–23 Sept. 2011

    Google Scholar 

  30. T. Shoji, S. Nishida, T. Ohnishi, T. Fujikawa, N. Nose, M. Ishiko, K. Hamada, Neutron induced single-event burnout of IGBT, in The 2010 International Power Electronics Conference, Sapporo, Hokkaido, 21–24 June 2010, pp. 142–148

    Google Scholar 

  31. S. Nishida, T. Shoji, T. Ohnishi, T. Fujikawa, N. Nose, M. Ishiko, K. Hamada, Cosmic ray ruggedness of IGBTs for hybrid vehicles, in The 22nd International Symposium on Power Semiconductor Devices & ICs, Hiroshima, 6–10 June 2010, pp. 129–132

    Google Scholar 

  32. ITRS Report 2010. http://www.itrs.net/

  33. N. Seifert, B. Gill, M. Zhang, V. Zia, V. Ambrose, On the scalability of redundancy based SER mitigation schemes, in International Conference on IC Design and Technology, Austin, Texas 18–20 May, vol. G2, pp. 197–205

    Google Scholar 

  34. E. Ibe, S. Chung, S. Wen, H. Yamaguchi, Y. Yahagi, H. Kameyama, S. Yamamoto, T. Akioka, Spreading diversity in multi-cell neutron-induced upsets with device scaling, in The 2006 IEEE Custom Integrated Circuits Conference, San Jose, CA, 10–13 Sept 2006, pp. 437–444

    Google Scholar 

  35. J.F. Ziegler, W.A. Lanford, Effect of cosmic rays on computer memories. Science 206, 776–788 (1979)

    Article  Google Scholar 

  36. M. Gutsche, et al., Capacitance enhancement techniques for sub-100 nm trench DRAMs, in International Electron Device Meeting, Washington, DC, 3–6 Dec 2001, pp. 18.6.1–18.6.4

    Google Scholar 

  37. K. Takeuchi, K. Shimohigashi, E. Takeda, E. Yamasaki, Experimental characterization of α-induced charge collection mechanism for megabit DRAM cells, in IEEE International Solid-State Circuits Conference, N.Y., 10 Feb 1987, pp. 99–100

    Google Scholar 

  38. G.A. Sai-Halasz, M.R. Wordeman, R.H. Dennard, Alpha-particle-induced soft error rate in VLSI circuits. IEEE Trans. Elect. Devices ED-29(4), 725–731 (1982)

    Article  Google Scholar 

  39. C.E. Thompson, J.M. Meese, Reduction of α-particle sensitivity in dynamic semiconductor memories (16 k d-RAMs) by neutron irradiation. IEEE Trans. Nucl. Sci. NS-28(6), 3987–3993 (1981)

    Article  Google Scholar 

  40. E. Ibe, Current and future trend on cosmic-ray-neutron induced single event upset at the ground down to 0.1-micron-device, in The Svedberg Laboratory Workshop on Applied Physics, Uppsala, 3 May (1)

    Google Scholar 

  41. JEDEC, Measurement and reporting of alpha particle and terrestrial cosmic ray induced soft errors in semiconductor devices. JEDEC Standard JESD89, pp. 1–63 (2001)

    Google Scholar 

  42. E. Ibe, H. Kameyama, Y. Yahagi, K. Nishimoto, Y. Takahashi, Distinctive asymmetry in neutron-induced multiple error patterns of 0.13 umocess SRAM, in The 6th International Workshop on Radiation Effects on Semiconductor Devices for Space Application, Tsukuba, 6–8 Oct 2004, pp. 19–23 (2004)

    Google Scholar 

  43. N. Seifert, V. Zia, Assessing the impact of scaling on the efficacy of spatial redundancy based mitigation schemes for terrestrial applications, in 2007 IEEE Workshop on Silicon Errors in Logic—System Effects, Austin, Texas, April 3, 4 (2007)

    Google Scholar 

  44. P. Shivakumar, M. Kistler, S.W. Keckler, D. Burger, L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, in International Conference on Dependable Systems and Networks, pp. 389–398 (2002)

    Google Scholar 

  45. H. Quinn, K. Morgan, P. Graham, J. Krone, M. Caffrey, K. Lundgren, Static proton and heavy ion testing of the Xilinx Virtex-5 device, in Radiation Effects Data Workshop, No. W-31, Honolulu, Hawaii, 23–27 July, pp. 177–184 (2007)

    Google Scholar 

  46. T. Calin, M. Nicolaidis, R. Velazco, Upset hardened memory design for submicron CMOS technology. IEEE Trans. Nucl. Sci. 43(6), 2874–2878 (1996)

    Article  Google Scholar 

  47. H. Quinn, J. Tripp, T. Fairbanks, A. Manuzzato, Improving microprocessor reliability through software mitigation, in 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinoi, 29–30 Mar 2011, pp. 16–21

    Google Scholar 

  48. T. Uemura, Y. Tosaka, H. Matsuyama, K. Shono, SEILA: soft error immune latch for mitigating multi-node-SEU and local-clock-SET, in IEEE International Reliability Physics Symposium 2010, Anaheim, CA, USA, 2–6 May 2010, pp. 218–223

    Google Scholar 

  49. H.-H. Lee, K. Lilja, S. Mitra, Design of a sequential logic cell using LEAP: layout design through error aware placement, in 2010 IEEE Workshop on Silicon Errors in Logic—System Effects, Stanford University, 23–24 Mar 2010

    Google Scholar 

  50. R.C. Baumann, E.B. Smith, Neutron-induced boron fission as a major source of soft errors in deep submicron SRAM devices, in 2000 IEEE International Reliability Physics (2000)

    Google Scholar 

  51. IEC (2008) Part 38: soft error test method for semiconductor devices with memory. Semiconductor devices. Mechanical and climatic test methods, in Symposium Proceedings IEC60749-38, San Jose, CA, 10–13 Apr 2008 pp. 152–157

    Google Scholar 

  52. B. Falsafi, Reliability in the dark silicon era, in International On-Line Testing Symposium 2011, Athens, Greece, 13–15 July 2011, p. xvi

    Google Scholar 

  53. J. Loncaric, DOE’s exascale initiative and resilience, in 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, 29–30 Mar 2011

    Google Scholar 

  54. J.F. Abella, J. Cazorlal, D. Gizopoulos, E. Quinones, A. Grasset, S. Yehia, P. Bonnot, R. Mariani, G. Bernat, Towards improved survivability in safety-critical systems, in 17th IEEE International On-Line Testing Symposium, Athens, Greece, 13–15 July 2011 (S3), pp. 242–247

    Google Scholar 

  55. D. Baumeister, S.G.H. Anderson, Evaluation of chip-level irradiation effects in a 32-bit safety microcontroller for automotive braking applications, in 2012 IEEE Workshop on Silicon Errors in Logic—System Effects, vol. 2.2, Urbana-Champaign, Illinois, 27–28 Mar 2012

    Google Scholar 

  56. Automotive Electronics Council, Failure mechanism based stress test quantification for integrated circuits, in AEC-Q100 Revolution G, pp. 1–35 (2007)

    Google Scholar 

  57. ISO, International Standard ISO26262 Road vehicles-Functional safety (2011)

    Google Scholar 

  58. H. Quinn, Study on cross-layer reliability, in 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, March 29–30

    Google Scholar 

  59. N. Carter, Cross-layer reliability, in 2010 IEEE Workshop on Silicon Errors in Logic—System Effects, Stanford University, March 23, 24 (2010)

    Google Scholar 

  60. C. Slayman, Eliminating the threat of soft errors—a system vendor perspective, in IRPS SER Panel Discussion, Eliminating the Threat of Soft Error, vol. 6 Dallas, Texas, April 2, 2003

    Google Scholar 

  61. E. Ibe, H. Kameyama, Y. Yahagi, H. Yamaguchi, Single event effects as a reliability issue of IT infrastructure, in 3rd International Conference on Information Technology and Applications, July 3–7, 2005, Sydney, vol. I, pp. 555–560

    Google Scholar 

  62. E. Ibe, K. Shimbo, T. Toba, H. Taniguchi, Y. Taniguchi, LABIR: Inter-LAyer built-in reliability for electronic components and systems, in Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, USA, March 27–28 2011

    Google Scholar 

  63. E. Ibe, K. Shimbo, T. Toba, H. Taniguchi, Y. Taniguchi, Quantification and mitigation strategies of neutron induced soft-errors in CMOS devices and components-the past and future, in 2011 IEEE International Reliability Physics Symposium, Monterey, California, April 12–14 (3C2)

    Google Scholar 

  64. A. Evans, M. Nicolaidis, S.-J. Wen, D. Alexandrescu, E. Costenaro, RIIF—Reliability Information Interchange Format, in IEEE International On-Line Testing Symposium, Sitges, Spain, June 27–29, 2012 (6.2)

    Google Scholar 

  65. E. Ibe, T. Toba, K. Shimbo, H. Taniguchi, Fault-Based reliable design on-upper-bound of electronic systems for terrestrial radiation including muons, electrons, protons and low energy neutrons, in IEEE International On-Line Testing Symposium, Sitges, Spain, June 27–29, 2012 (3.2)

    Google Scholar 

  66. H. Kobayashi, N. Kawamoto, J. Kase, K. Shiraishi, Alpha particle and neutron-induced soft error rates and sling trends in SRAM, in IEEE International Reliability Physics Symposium 2009, Montreal, Quebec, Canada, April 28–30 (2H4), pp. 206–211

    Google Scholar 

  67. B.D. Sierawski, M.H. Mendenhall, R.A. Reed, M.A. Clemens, R.A. Welle, R.D. Schrimp, E.W. Blackmore, M. Trinczek, B. Hitti, J.A. Pellish, R.C. Baumann, S.-J. Wen, R. Wong, N. Tam, Muon-Induced single event upsets in deep-submicron technology. Trans. Nucl. Sci. 57(6), 3273–3278 (2010)

    Google Scholar 

  68. S. Wen, R. Wong, M. Romain, N. Tam, Thermal neutron soft error rate for SRAMs in the 90 nm–45 nm technology range, in 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, 2–6 May 2010 (SE5.1), pp. 1036–1039

    Google Scholar 

  69. S. Wen, S.Y. Pai, R. Wong, M. Romain, M., N. Tam, B10 Findings and correlation to thermal neutron soft error rate sensitivity for SRAMs, in the sub-micron technology, in IEEE International Integrated Reliability Workshop, Stanford Sierra, CA, Oct. 17–21 2010, pp. 31–33

    Google Scholar 

  70. R.C. Baumann, Determining the impact of alpha-particle-emitting contamination from the Fukushima Daiichi disaster on Japanese manufacturing sites, in The 12th European Conference on Radiation and Its Effects on Component and Systems, Sevilla, Spain, Sept. 19–23 2010, pp. 784–787

    Google Scholar 

  71. http://semicon.jeita.or.jp/hp/srg/docs/JEITA-SERPG-View_en.pdf

  72. D.C. Matthews, M.J. Dion, NSE impact on commercial avionics, in 2009 IEEE International Reliability Physics Symposium, Montreal, QC, April 26–30 2009, pp. 181–193

    Google Scholar 

  73. E. Normand, J. Wert, D. Oberg, P. Majewski, P. Voss, S.A. Wender, Neutron-induced single event burnout in high voltage electronics. Trans. Nucl. Sci. 44, 2358–2368 (1997)

    Article  Google Scholar 

  74. C. Slayman, Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations. IEEE Trans. Device Mater. Reliab. 5(3), 397–404 (2005)

    Article  Google Scholar 

  75. G. Schindlbeck, C. Slayman, Neutron-induced logic soft errors in dram technology and their impact on reliable server memory, in IEEE Workshop on Silicon Errors in Logic—System Effects, Austin Texas, April 3–4 2007, p. 3

    Google Scholar 

  76. K. Shimbo, T. Toba, K. Nishii, E. Ibe, Y. Taniguchi, Y. Yahagi, Quantification & mitigation techniques of soft-error rates in routers validated in accelerated neutron irradiation test and field test, in 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, 29–30 Mar 2011, pp. 11–15

    Google Scholar 

  77. C. Rivetta, B. Allongue, G. Berger, F. Faccio, W. Hajdas, Single event burnout in DC-DC converters for the LHC experiments, in The 6th European Conference on Radiation and Its Effects on Components and Systems, Grenoble, France, 10–14 Sept 2001, pp. 315–322

    Google Scholar 

  78. P. Rech, L. Carro, Experimental evaluation of neutron-induced effects in graphic processing units, in The 9th Workshop on Silicon Errors in Logic—System Effects, Palo Alto, California, USA, 26–27 Mar, vol. 5.3

    Google Scholar 

  79. A. Geist, Exascale monster in the closest, in 2012 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, 27–28 Mar, vol 5.1 (2012)

    Google Scholar 

  80. J.T. Daly, Emerging challenges in high performance computing: resilience and the science of embracing failure, in The 9th Workshop on Silicon Errors in Logic—System Effects, Palo Alto, California, USA, 26–27 Mar (Keynote III) (2013)

    Google Scholar 

  81. G. Upasani, X. Vera, A. Gonzalez, Achieving zero DUE for L1 data caches by adapting acoustic wave detectors for error detection, in 19th IEEE International On-Line Testing Symposium, Chania, Crete, 8–10 July, vol. 5.2 (2013)

    Google Scholar 

  82. D. Skarin, J. Sanfridson, Impact of soft errors in a brake-by-wire system, in IEEE Workshop on Silicon Errors in Logic—System Effects 3, Austin, Texas, 3–4 Apr (2007)

    Google Scholar 

  83. B. Nemeth, P. Gaspar, Z. Szabo, J. Bokor, O. Sename, L. Dugard, Design of fault-tolerant control for trajectory tracking, in 13th Mini Conference on Vehicle System Dynamics, Identification and Anomalies, Budapest, Hungary, 5–7 Nov 2012 (2012)

    Google Scholar 

  84. Y. Nakata, Y. Ito, Y. Sugure, S. Oho, Y. Takeuchi, S. Okumura, H. Kawaguchi, M. Yoshimoto, Model-based fault injection for failure effect analysis—evaluation of dependable SRAM for vehicle control units, in The 5th Workshop on Dependable and Secure Nanocomputing, Hong Kong, China, 27 July 2011

    Google Scholar 

  85. C. Lopez-Ongil, M. Portela-Garcia, M. Garcia-Valderas, A. Vaskova, J. Rivas-Abalo, L. Entrena, A. Martinortega, J. Martinez-Oter, S. Rodriguez-Bustabad, I. Arruego, SEU sensitivity of robust communication protocols, in IEEE International On-Line Testing Symposium, Sitges, Spain, 27–29 June 2012, vol. 9.4 (2012), pp. 188–193

    Google Scholar 

  86. A. Vaskova, M. Portela-Garcia, M. Garcia-Valderas, M. SonzaReorda, C. Lopez-Ongil, Hardening of serial communication protocols for potentially critical systems in automotive applications: LIN Bus, in 19th IEEE International On-Line Testing Symposium, Chania, Crete, 8–10 July, vol. 1.3 (2013), pp. 13–18

    Google Scholar 

  87. P. Rech, C. Aguiar, R. Ferreira, C. Frost, L. Carro, Neutrons radiation test of graphic processing units, in IEEE International On-Line Testing Symposium, Sitges, Spain, 27–29 June 2012, vol. 3.3 (2012)

    Google Scholar 

  88. Y. Chen, Cosmic ray effects on cellphone and laptop applications, in The 9th Workshop on Silicon Errors in Logic—System Effects, Palo Alto, California, USA, 26–27 Mar, vol. 5.4 (2013)

    Google Scholar 

  89. G. Upasani, X. Vera, A. González, Avoiding core’s DUE & SDC via acoustic wave detectors and tailored error containment and recovery, in 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA) 14–18 June 2014, Minneapolis, Minnesota, pp. 37–48

    Google Scholar 

  90. E.H. Neto, F.L. Kastensmidt, G.I. Wirth, A Built-In current sensor for high speed soft errors detection robust to process and temperature variations, in Proceedings of the 20th Annual Symposium on Integrated Circuits and System Design, Rio de Janeiro, Brazil, September 2007, pp. 190–195

    Google Scholar 

  91. P. Oldiges, K. Bernstein, D. Heidel, B. Klaasen, E. Cannon, R. Dennard, H. Tang, M. Ieong, H.-S.P. Wong, Soft error rate scaling for emerging SOI technology options, in VLSI Technology, 2002. Digest of Technical Papers. 2002 Symposium on 11–13 June 2002 Honolulu, Hawaii, USA, pp. 46–47

    Google Scholar 

  92. N. Seifert, B. Gill, S. Jahinuzzaman, J. Basile, V. Ambrose, Q. Shi, R. Allmon, A. Bramnik, Soft error susceptibilities of 22 nm tri-gate devices, in IEEE Transactions on Nucear. Science, vol. 59, No. 6, pp. 2666–2673

    Google Scholar 

  93. P. Roche, Technology downscaling worsening radiation effects in bulk: SOI to the rescue, in 2013 IEEE International Electron Devices Meeting, 9–11 Dec. 2013, pp. 31.1.1–31.1.4

    Google Scholar 

  94. H. Sato, T. Wada, S. Ohbayashi, K. Kozaru, Y. Okamoto, Y. Higashide, T. Shimizu, Y. Maki, R. Morimot, H. Otoi, T. Koga, H. Honda, M. Taniguchi, Y. Arita, T. Shiomi, A 500-MHz pipelined burst SRAM with improved SER immunity. IEEE J. Solid State Circ. 34(11), 1571–1579 (1999)

    Article  Google Scholar 

  95. L.W. Massengill, SEU-hardened resistive-load static RAMs. IEEE Trans. Nucl. Sci. 38(6), 1478–1485 (1991)

    Article  Google Scholar 

  96. T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh, A 1-Mb STT-MRAM with zero-array standby power and 1.5-ns quick wake-up by 8-b fine-grained power gating, in 2013 5th IEEE International Memory Workshop, Monterey, California, 26–29 May 2013, pp. 80–83

    Google Scholar 

  97. N. Wang, S.J. Patel, Symptom based redundant multithreading, in The Second Workshop on System Effects of Logic Soft Errors, Urbana-Champaign, Illinois, 11–12 Apr 2006

    Google Scholar 

  98. K. Shimbo, T. Toba, T. Uezono, E. Ibe, Rapid recovery technique from soft error of FPGAs in information and communication apparatus. The Institute of Electronics, Information Technical Report 115(58), 37–42 (2015)

    Google Scholar 

  99. D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. Kim, K. Flautner, Razor: circuit-level correction of timing errors for low-power operation. Micro 24(6), 10–20 (2004)

    Google Scholar 

  100. http://www.nextplatform.com/2016/03/14/intel-marrying-fpga-beefy-broadwell-open-compute-future/

  101. http://www.mst.or.jp/Portals/0/prize/english/index_en.html

  102. J.J. Chen, R. Tsai, H. Tzeng, A symptom-driven fuzzy system for isolating faults, in IEEE International Conference on Systems, Man and Cybernetics, Chicago, Illinois, vol. 2, pp. 1589–1592 (1992)

    Google Scholar 

  103. T. Marques, A symptom-driven expert system for isolating and correcting network faults. IEEE Commun. Mag. 26(3), 6–13 (1988)

    Article  Google Scholar 

  104. M. Lee, A. Krishnakumar, P. Krishnan, N. Singh, S. Yajnik, Hypervisor-assisted application checkpointing in virtualized environments, in DSN2011, 28–30 June 2011, Hong Kong, China

    Google Scholar 

  105. Y. Sazeides, A. Geist, S. Adve, R. Iyer, T. Wenisch, Panel discussion: reliability requirements of large scale data centers, in 2012 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, 27–28 Mar 2012, vol. 4.1 (2012)

    Google Scholar 

  106. A. Sanyal, S. Alam, S. Kundu, A built-in self-test scheme for soft error rate characterization, in International On-Line Testing Symposium 2008, 6–9 July 2008, Greece, vol. 3.3, p. 65

    Google Scholar 

  107. S. Siskos, A new built-in current sensor for low supply voltage analog and mixed-signal circuits testing, in International On-Line Testing Symposium, 5–7 July 2010, Corfu Island, Greece

    Google Scholar 

  108. K. Yoshikawa, T. Hashida, M. Nagata, An on-chip waveform capturer for diagnosing off-chip power delivery, in International Conference on IC Design and Technology, 2–4 May 2011 Kaohsiung, Taiwan

    Google Scholar 

  109. M.-L. Li, P. Ramachandran, Towards a software-hardware co-designed resilient system, in IEEE Workshop on Silicon Errors in Logic—System Effects, 3–4 Apr 2007, vol. 3, Austin Texas

    Google Scholar 

  110. S.K.S. Hari, H. Naeimi, P. Ramachandran, S.V. Adve, Relyzer: application resiliency analyzer for transient faults, in 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Urbana-Champaign, Illinois, March 29–30, pp. 22–26

    Google Scholar 

  111. http://www.hitachi.com/rev/archive/2001/_icsFiles/afieldfile/2004/06/08/r2001_04_101.pdf. Accessed 22 Nov 2013

  112. http://hes-standards.org/sc25_wg1_introduction.pdf. Accessed 22 Nov 2013

  113. A. Dixit, A. Wood, The impact of new technology on soft error rates, in IEEE International Reliability Physics Symposium (IRPS), Monterey, California, pp. 486–492 (2011)

    Google Scholar 

  114. J. Maiz, S. Hareland, K. Zhang, P. Armstrong, Characterization of multi-bit soft error events in advanced SRAMs, in IEEE International Electron Devices Meeting (IEDM), (Washington, DC, 2003) pp. 519–522

    Google Scholar 

  115. S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino., K. Ishibashi, H. Shinohara, A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits, in IEEE Symposium on VLSl Circuits Digest of Technical Papers, Kyoto, Japan, pp. 820–829 (2007)

    Google Scholar 

  116. S. Yoshimoto, T. Amashita, D. Kozuwa, T. Takata, M. Yoshimura, Y. Matsunaga, H. Yasuura, H. Kawaguchi, M. Yoshimoto, Multiple-bit-upset and single-bit-upset resilient 8T SRAM bitcell layout with divided wordline structure, in IEEE International On-Line Testing Symposium (IOLTS), (Athens, Greece, 2011) pp. 151–156

    Google Scholar 

  117. iRoC TFIT Simulator, Transistor Level Soft Error Analysis. http://www.iroctech.com

  118. G. Gasiot, D. Giot, P. Roche, Multiple cell upsets as the key contribution to the total ser of 65 nm cmos srams and its dependence on well engineering. IEEE Trans. Nucl. Sci. 54(6), 2468–2473 (2007)

    Article  Google Scholar 

  119. H. Iwase, K. Nitta, T. Nakamura, Development of general-purpose particle and heavy ion transport Monte Carlo code, in IEEE Transactions on Nuclear Science, vol. 39, pp. 1142–1151 (2002). http://phits.jaea.go.jp/

  120. T. Sato, H. Yasuda, K. Niita, A. Endo, L. Sihverd, Development of PARMA: PHITS-based analytical radiation model in the atmosphere, in Rad. Res. 170, 244–259, 2008; EXPACS ver. 2.21, 2011, http://phits.jaea.go.jp/expacs/index.html

  121. P. Hazucha, C. Svensson, Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Trans. Nucl. Sci. 47(6), 2586–2594 (2000)

    Article  Google Scholar 

  122. C. Robert, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Nucl. Sci. 5(3), 305–316 (2005)

    Google Scholar 

  123. C. Auth, A. Cappellani, J.S. Chun, A. Dalis, A. Davis, T. Ghani, G. Glass, T. Glassman, M. Harper, M. Hattendorf, P. Hentges, S. Jaloviar, S. Joshi, J. Klaus, K. Kuhn, D. Lavric, M. Lu, H. Mariappan, K. Mistry, B. No.rris, N. Rahhal-orabi, P. Ranade, J. Sandford, L. Shifren, V. Souw, K. Tone, F. Tambwe, A. Thompson, D. Towner, T. Troeger, P. Vandervoorn, C. Wallace, J. Wiedemer, C. Wiegand, 45 nm High-k + Metal Gate Strain-Enhanced Transistors, in IEEE Symposium on VLSI Technology, Honolulu, Hawaii, pp. 128–129 (2008)

    Google Scholar 

  124. H.J. Cho, K.I. Seo, W.C. Jeong, Y.H. Kim, Y.D. Lim, W.W. Jang, J.G. Hong, S.D. Suk, M. Li, C. Ryou, H.S. Rhee, J.G. Lee, H.S. Kang, Y.S. Son, C.L. Cheng, S.H. Hong, W.S. Yang, S.W. Nam, J.H. Ahn, D.H. Lee, S. Park, M. Sadaaki, D.H. Cha, D.W. Kim, S.P. Sim, S. Hyun, C.G. Koh, B.C. Lee, S.G. Lee, M.C. Kim, Y.K. Bae, B. Yoon, S.B. Kang, J.S. Hong, S. Choi, D.K. Sohn, J.S. Yoon, C. Chung, Bulk planar 20 nm high-K/metal gate CMOS technology platform for low power and high performance applications, in IEEE International Electron Devices Meeting (IEDM) (Washington, DC, 2011), pp. 350–353

    Google Scholar 

  125. C.C. Wu, Y.K. Leung, C.S. Chang, M.H. Tsai, H.T. Huang, D.W. Lin, Y. M. Sheu, C.H. Hsieh, W.J. Liang, L.K. Han, W.M. Chen, S.Z. Chang, S.Y. Wu, S.S. Lin, H. C. Lin, C. H. Wang, P.W. Wang, T.L. Lee, C.Y. Fu, C. W. Chang, S.C. Chen, S.M. Jang, S.L. Shue, H.T. Lin, Y.C. See, Y.J. Mii, C. H. Diaz, B. J. Lin, M. S. Liang, Y.C. Sun, A 90-nm CMOS device technology with high-speed, general-purpose, and low-leakage transistors for system on chip applications, in IEEE International Electron Devices Meeting (IEDM), San Francisco, California, pp. 65–68 (2002)

    Google Scholar 

  126. C. Shin, N. Damrongplasit, X. Sun, Y. Tsukamoto, B. Nikoli, T.J.K. Liu, Performance and yield benefits of Quasi-Planar bulk CMOS technology for 6-T SRAM at the 22-nm node. IEEE Trans. Elect. Devices 58(7), 1846–1854 (2011)

    Article  Google Scholar 

  127. J. Furuta, K. Kobayashi, H. Onodera, Impact of cell distance and well-contact density on neutron-induced multiple cell upsets, in Proceedings of International Reliability Physical Symposium, Monterey, California, Apr 2013, pp. 6C.3.1–6C.3.4

    Google Scholar 

  128. K. Zhang, K. Kobayashi, Contributions of charge sharing and bipolar effects to cause or suppress MCUs on redundant latches, in Proceedings of International Reliability Physical Symposium, Monterey, Calirofonia, Apr 2013, pp. SE.5.1–SE.5.4

    Google Scholar 

  129. R. Yamamoto, C. Hamanaka, J. Furuta, K. Kobayashi, H. Onodera, An area-efficient 65 nm radiation-hard dual-modular flip-flop to avoid multiple cell upsets. IEEE Trans. Nucl. Sci. 58(6), 3053–3059 (2011)

    Article  Google Scholar 

  130. D. Krueger, E. Francom, J. Langsdorf, Circuit design for voltage scaling and SER immunity on a quad-core itanium processor, in ISSCC, Feb 2008, San Francisco, California, pp. 94–95

    Google Scholar 

  131. R. Kan, T. Tanaka, G. Sugizaki, K. Ishizaka, R. Nishiyama, S. Sakabayashi, Y. Koyanagi, R. Iwatsuki, K. Hayasaka, T. Uemura, G. Ito, Y. Ozeki, H. Adachi, K. Furuya, T. Motokurumada, The 10th generation 16-core SPARC64TM; processor for mission critical UNIX server. IEEE J. Solid State Circ. 49(1), 32–40 (2014)

    Article  Google Scholar 

  132. M. Zhang, S. Mitra, T.M. Mak, N. Seifert, N.J. Wang, Q. Shi, K.S. Kim, N.R. Shanbhag, S.J. Patel, Sequential element design with built-in soft error resilience. IEEE Trans. VLSI Syst. 14(12), 1368–1378 (2006)

    Article  Google Scholar 

  133. J. Furuta, C. Hamanaka, K. Kobayashi, H. Onodera, A 65 nm bistable cross-coupled dual modular redundancy flip-flop capable of protecting soft errors on the C-element, in VLSI Circuit Symposium, June 2010, Honolulu, Hawaii, pp. 123–124

    Google Scholar 

  134. N. Seifert, V. Ambrose, B. Gill, Q. Shi, R. Allmon, C. Recchia, S. Mukherjee, N. Nassif, J. Krause, J. Pickholtz, A. Balasubramanian, On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies, in Proceedings of International Relational Physics Symposium, May 2010, Anaheim, California, pp. 188–197

    Google Scholar 

  135. B. Narasimham, K. Chandrasekharan, Z. Liu, J. Wang, G. Djaja, N. Gaspard, J. Kauppila, B. Bhuva, A hysteresis-based d-flip-flop design in 28 nm CMOS for improved SER hardness at low performance overhead. IEEE Trans. Nucl. Sci. 59(6), 2847–2851 (2012)

    Article  Google Scholar 

  136. N. Gaspard, S. Jagannathan, Z. Diggins, N. Mahatme, T. Loveless, B. Bhuva, L. Massengill, W. Holman, B. Narasimham, A. Oates, P. Marcoux, N. Tam, M. Vilchis, S.-J. Wen, R. Wong, Y. Xu, Soft error rate comparison of various hardened and non-hardened flip-flops at 28-nm node, in Proceedings of International Reliability Physical Symposium Waikoloa, Hawaii, June 2014, pp. SE.5.1–SE.5.5

    Google Scholar 

  137. R. Tsuchiya, M. Horiuchi, S. Kimura, M. Yamaoka, T. Kawahara, S. Maegawa, T. Ipposhi, Y. Ohji, H. Matsuoka, Silicon on thin BOX: a new paradigm of the CMOSFET for low-power high-performance application featuring wide-range back-bias control, in IEDM, San Francisco, California, Dec 2004, pp. 631–634

    Google Scholar 

  138. N. Sugii, R. Tsuchiya, T. Ishigaki, Y. Morita, H. Yoshimoto, S. Kimura, Local Vth variability and scalability in Silicon on-Thin-BOX (SOTB) CMOS with small random-dopant fluctuation. IEEE Trans. Elect. Dev. 57(4), 835–845 (2010)

    Article  Google Scholar 

  139. S. Kamohara, N. Sugii, Y. Yoshiki, H. Makiyama, T. Yamashita, T. Hasegawa, S. Okanishi, H. Yanagita, M. Kadoshima, K. Maekawa, M. Hiroshi, Y. Yamagata, H. Oda, Y. Yamaguchi, K. Ishibashi, A. Hideharu, K. Usami, K. Kobayashi, T. Mizutani, T. Hiramoto, Ultra low-voltage design and technology of silicon on-thin-buried-oxide (SOTB) CMOS for highly energy efficient electronics in iot era, in VLSI Technology Symposium (Honolulu, Hawaii, 2014)

    Google Scholar 

  140. P. Roche, J.-L. Autran, G. Gasiot, D. Munteanu, Technology downscaling worsening radiation effects in bulk: SOI to the rescue, in IEDM, Washington, DC, Dec 2013, pp. 31.1.1–31.1.4

    Google Scholar 

  141. D. Jacquet, G. Cesana, P. Flatresse, F. Arnaud, P. Menut, F. Hasbani, T. Di Gilio, C. Lecocq, T. Roy, A. Chhabra, C. Grover, O. Minez, J. Uginet, G. Durieu, F. Nyer, C. Adobati, R. Wilson, D. Casalotto, 2.6 GHz ultra-wide voltage range energy efficient dual A9 in 28 nm UTBB FD-SOI, in VLSI Technical Symposium, Kyoto, Japan, 2013, pp. C44–C45.8

    Google Scholar 

  142. K. Kobayashi, K. Kubota, M. Masuda, Y. Manzawa, J. Furuta, S. Kanda, H. Onodera, A low-power and area-efficient radiation-hard redundant flip-flop, DICE ACFF in a 65 nm thin-box FD-SOI, in IEEE Tranactions on Nuclear Science vol. 61, no. 4, June 2014

    Google Scholar 

  143. S.M.A.H. Jafri, et al., Design of a fault-tolerant coarse-grained reconfigurable architecture: a case study, in Proceedings of International Symposium on Quality Electronic Design (ISQED), Mar 2010, San Jose, California, pp. 845–852

    Google Scholar 

  144. M.M. Azeem, et al., Error recovery technique for coarse-grained reconfigurable architectures, in Proceedings of IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2011, Cottbus, Germany, pp. 441–446

    Google Scholar 

  145. T. Schweizer, et al., Low-cost TMR for fault-tolerance on coarse-grained reconfigurable architectures, in Proceedings of International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2011, Cancun, Mexico, pp. 135–140

    Google Scholar 

  146. S.C. Goldstein et al., PipeRench: a reconfigurable architecture and compiler. IEEE Trans. Comput. 33(4), 70–77 (2000)

    Google Scholar 

  147. C. Ebeling, et al., RaPiD—reconfigurable pipelined data-path, in Proceedings of International Conference on Field Programmable Logic and Applications (FPL), Sept 1996, Darmstadt, Germany, pp. 126–135

    Google Scholar 

  148. Y. Mitsuyama, et al., Area-efficient reconfigurable architecture for media processing, IEICE Transactions in Fundamentals of Electronics, Communications and Computer Sciences, Dec 2008, vol. E91-A, no. 12, pp. 3651–3662

    Google Scholar 

  149. T. Toi, et al., High-level synthesis challenges and solutions for a dynamically reconfigurable processor, in Proceedings of International Conference on Computer-Aided Design (ICCAD), Nov. 2006, San Jose, California, pp. 702–708

    Google Scholar 

  150. T. Sugawara et al., Dynamically reconfigurable processor implementation with IPFlex’s DAPDNA technology. IEICE Trans. Informat. Syst. E87-D(8), 1997–2003 (2004)

    Google Scholar 

  151. V. Baumgarte et al., PACT XPP—a self-reconfigurable data processing architecture. J. Supercomput. 26(2), 167–184 (2003)

    Article  Google Scholar 

  152. H. Konoura, et al., Reliability-configurable mixed-grained reconfigurable array supporting C-based design and its irradiation testing, in IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, to appear, Dec. 2014

    Google Scholar 

  153. T. Imagawa, et al., A cost-effective selective TMR for heterogeneous coarse-grained Reconfigurable architectures based on DFG-level vulnerability analysis, in Proceedings of Design, Automation & Test in Europe (DATE), Mar 2013, Grenoble, France, pp. 701–706

    Google Scholar 

  154. T. Imagawa, H. Tsutsui, H. Ochi, T. Sato, A cost-effective selective TMR for coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis, in IEICE Transactions on Electronics, vol. E96-C, no. 4, Apr. 2013

    Google Scholar 

  155. B. Pratt, M. Caffrey, P. Graham, K. Morgan, M. Wirthlin, Improving FPGA design robustness with partial TMR, in Proceedings of International Reliability Physics Symposium (IRPS), San Jose, California, Mar. 2006, pp. 226–232,

    Google Scholar 

  156. J. Yao et al., DARA: a low-cost reliable architecture based on unhardened devices and its case study of radiation stress test. IEEE Trans. Nucl. Sci. 59(6), 2852–2858 (2012)

    Article  Google Scholar 

  157. K. Wakabayashi, T. Okamoto, C-based SoC design flow and EDA tools: an ASIC and system vendor perspective, in IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems, vol. 19, no. 12, Dec 2000, pp. 1507–1522

    Google Scholar 

  158. CyberWorkbench, http://www.nec.com/en/global/prod/cwb/

  159. M. Sugihara, T. Ishihara, M. Muroyama, K. Hashimoto, A simulation-based soft error estimation methodology for computer system, in Proceedings of International Symposium on Quality Electronic Design (ISQED), Mar 2006, San Jose, California, pp. 196–203

    Google Scholar 

  160. M. Sugihara, T. Ishihara, and K. Murakami, Architectural-level soft-error modelling for estimating reliability of computer systems, IEICE Trans. Electron. E90-C(10), 1983–1991 (2007)

    Google Scholar 

  161. M. Sugihara, T. Ishihara, K. Murakami, Task scheduling for reliable cache architectures of multiprocessor systems, in Proceedings of Design, Automation and Test in Europe (DATE), Nice Acropolis, France, Apr 2007, pp. 1490–1495

    Google Scholar 

  162. M. Sugihara, T. Ishihara, K. Murakami, Reliable cache architectures and task scheduling for multiprocessor systems. IEICE Trans. Electron. E91-C(4), 410–417 (2008)

    Article  Google Scholar 

  163. M. Sugihara, SEU vulnerability of multiprocessor systems and task scheduling of heterogeneous multiprocessor systems, in Proceedings of EUROMICRO Conference on Digital System Design (DSD), Patras, Greece, Aug 2009 pp. 333–340

    Google Scholar 

  164. M. Sugihara, Reliability inherent in heterogeneous multiprocessor systems and task scheduling for ameliorating their reliability. IEICE Trans. Fundament. Electron. Commun. Comput. Sci. E92-A(4), 1121–1128 (2009)

    Article  Google Scholar 

  165. M. Sugihara, Heterogeneous multiprocessor synthesis under performance and reliability constraints, in Proceedings of EUROMICRO Conference on Digital System Design (DSD), Patras, Greece, Sept 2009, pp. 232–239

    Google Scholar 

  166. M. Sugihara, On synthesizing a reliable multiprocessor for embedded systems. IEICE Trans. Fundament. Electron. Commun. Comput. Sci. E93-A(12), 2560–2569 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Eishi H. Ibe .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Japan KK, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ibe, E.H. et al. (2019). Radiation-Induced Soft Errors. In: Asai, S. (eds) VLSI Design and Test for Systems Dependability. Springer, Tokyo. https://doi.org/10.1007/978-4-431-56594-9_3

Download citation

  • DOI: https://doi.org/10.1007/978-4-431-56594-9_3

  • Published:

  • Publisher Name: Springer, Tokyo

  • Print ISBN: 978-4-431-56592-5

  • Online ISBN: 978-4-431-56594-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics