Skip to main content

Literaturverzeichnis

  • Chapter
Kalte Plasmen
  • 107 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 54.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Literaturverzeichnis

  • Aigrain, P.: “Les ”Helicons“ dans les Semiconducteurs”, Proc. Conf. Semicond. Phys. 15, 224–226 (1960)

    Google Scholar 

  • Ali Kettani, M.; Hoyaux, M.F.: “Plasma Engineering”, Butterworth, London, 1973

    Google Scholar 

  • Allis, W.P.; Morse, P.M.: “Theorie der Streuung langsamer Elektronen an Atomen”, Z. Phys. 70, 567–582 (1931)

    MATH  Google Scholar 

  • Allis, W.P.; Brown, S.C.; Everhart, E: “Electron Density Distribution in a High Frequency Discharge in the Presence of Plasma Resonance”, Phys. Rev. 84 (3), 519–522 (1951)

    MATH  Google Scholar 

  • Allis, W.P.: “Motions of Ions and Electrons”, in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 21, Teil 1, Springer, Berlin/Göttingen/Heidelberg, 1956

    Google Scholar 

  • Almen, O.; Bruce, G.: “Collection and Sputtering Experiments with Noble Gas Ions”, Nucl. Instr. Meth. 11, 257–278 (1961)

    Google Scholar 

  • Anderson, G.S.; Mayer, W.N.; Wehner, G.K.: “Sputtering of Dielectrics by High-Frequency Fields”, J. AppL Phys. 33 (10), 2991–2992 (1962)

    Google Scholar 

  • Asmussen, J.: “Electron Cyclotron Resonance Microwave Discharges for Etching and Thin-Film Deposition ” J. Vac. Sci. Technol. A7 (3), 883–893 (1989)

    MathSciNet  Google Scholar 

  • Atkins, P.W.: “Physical Chemistry”, Oxford University Press, Oxford 1978

    Google Scholar 

  • Auciello, O.: “Historical Overview of Ion-Induced Morphological Modification of Surfaces”, in “Ion Bombardement Modification of Surfaces”, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/ Oxford/New York/Tokio, 1984, S. 1–25

    Google Scholar 

  • Auciello, O.; Kelly, R.; Iricibar, R.: “New Insight into the Development of Pyramidal Structures on Bombarded Copper Surfaces”, Rad. Effects 46, 105–118 (1980)

    Google Scholar 

  • Auciello, O.: “A Critical Analysis on the Origin, Stability, Relative Sputtering Yield and Related Phenomena of Textured Surfaces under Ion Bombardement”, Rad. Effects 60, 1–26 (1982)

    Google Scholar 

  • Ayers, T.L.L.: “The Ionization by Collision of Hydrogen, Nitrogen, and Argon”, Phil. Mag. 45, 353–368 (1923)

    Google Scholar 

  • Barkhausen, H.: “Zwei mit Hilfe der neuen Verstärker entdeckte Erscheinungen”, Phys. Z. 20, 401 (1919)

    Google Scholar 

  • Barrett, PJ.; Jones, H.G.; Franklin, R.N.: “ Dispersion of Electron Plasma Waves”, Plasma Physics 10, 911–918 (1968)

    Google Scholar 

  • Barrow, G.M.: “Introduction to Molecular Spectroscopy”, McGraw Hill, New York/London/Sydney, 1962

    Google Scholar 

  • Baudrant, A.; Passerat, A., Bollinger D.: “Reactive Ion Beam Etching of Tantalum Silicide for VLSI Applications”, Solid State Technol. 26 (9), 183–187 (1983)

    Google Scholar 

  • Bayly, A.R.: “Secondary Processes in the Evolution of Sputter-Topographics”, J. Mat. Sci. 7, 404–412 (1972)

    Google Scholar 

  • Bell, A.T.: “Fundamentals of Plasma Chemistry”, Chapter 1 in “Techniques and Applications of Plasma Chemistry”, ed. by J.R. Hollahan and A.T. Bell, J. Wiley and Sons, New York, 1974

    Google Scholar 

  • Bethe, H.A.; Salpeter, E.E, E.E.: “Quantum Mechanics of One-and Two-Electron Systems”, in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 35, Atome 1, Springer, Berlin/Göttingen/Heidelberg, 1957

    Google Scholar 

  • Bladel, J.v, J.v.: “Electromagnetic Fields”, Hemisphere Publ. Corp., Washington/ New York/London, 1985

    Google Scholar 

  • Bletzinger, CA.; deJoseph jr., CA.: “Structure of RF Parallel-Plate Discharges”, IEEE Trans. Plasma Sci. PS 14 (2), 124–131 (1986)

    Google Scholar 

  • Boenig, H.V, H.V.: “Plasma Science and Technology”, C. Hanser Verlag, München, 1982

    Google Scholar 

  • Bohm, D.: “Minimum Ion Kinetic Energy for a Stable Sheath”, in “The Characteristics of Electrical Discharges in Magnetic Fields”, p. 77–86, ed. by A. Guthrie and R.K. Wakenling, McGraw Hill, New York, 1949

    Google Scholar 

  • Bohm, D.; Burhop, E.H.S.; Massey, H.S.W.: “The Use of Probes for Plasma Exploration in Strong Magnetic Fields”, ibid, p. 13–77

    Google Scholar 

  • Bohm, D.; Gross, E.P.: “Theory of Plasma Oscillations. A. Origin of Medium-Like Behavior”, Phys. Rev. 75(12), 1851–1864 ( 1949 I )

    Google Scholar 

  • Bohm, D.; Gross, E.P.: “Theory of Plasma Oscillations. B. Excitations and Damping of Oscillations”, Phys. Rev. 75 (12), 1864–1876 (1949)

    MATH  Google Scholar 

  • Bohm, D.; Gross, E.P.: “Effects of Plasma Boundaries in Plasma Oscillations” Phys. Rev. 79 (6), 992–1001 (1950)

    MATH  Google Scholar 

  • Bolker, B.F.T.; Tisone, T.C.; Latos, T.S.: “Control System Dynamics Using Glow Discharge Mass Spectroscopy for Thin Film Sputtering”, J. Vac. Sci. TechnoL 18 (2), 328–334 (1981)

    Google Scholar 

  • Bollinger, L.D.: “Ion Milling for Semiconductor Production Processes”, Solid State Technol. 20 (11), 66–70 (1977)

    Google Scholar 

  • Bollinger, D.; Fink, R.: “A New Production Technique: Ion Milling” Solid State Technol. 23 (11), 79–84 (1980 I); ibid, 23 (12), 97–103 ( 1980 I I )

    Google Scholar 

  • Bollinger, L.D.: “Ion Beam Etching with Reactive Gases”, Solid State Technol. 26 (1), 99–108 (1983)

    Google Scholar 

  • Bollinger, D.; Hida, S.; Matsumoto, O.: “Reactive Ion Etching: Its Basis and Future”; Solid State Technol. 27(5), 111 (1984): Part I ibid 27 (6), 167 (1984): Part II

    Google Scholar 

  • Born, M.: “Optik”, Springer, Berlin/Göttingen/Heidelberg, 1972

    Google Scholar 

  • Boswell, R.W.: “Plasma Production Using a Standing Helicon Wave”, Phys. Lett. 33A (7), 457–458 (1970)

    Google Scholar 

  • Boswell, R.: “Dependence of Helicon Wave Radial Structure on Electron Inertia”, Austr. J. Phys. 25, 403–407 (1972)

    Google Scholar 

  • Boswell, R.; Porteous, R.; Proytz, A.; Bouchoule, A.; Ranson, P.: “Some Features of RF Excited Fully Ionized Low Pressure Argon Plasma”, Phys. Lett. 91A (4), 163–166 (1982)

    Google Scholar 

  • Boswell, R.W.: “Very Efficient Plasma Generation by Whistler Waves Near the Lower Hybrid Frequency”, Plasma Phys. 26 (10), 1147–1162 (1984)

    Google Scholar 

  • Boswell, R.: “Effect of Boundary Conditions on Radial Mode Structure of Whistlers”, J. Plasma Phys. 31 (2), 197–208 (1984)

    MathSciNet  Google Scholar 

  • Boswell, R.W.; Perry, A.J.; Emami, M, M.: “Fast Etching of Silicon in a Plasma Reactor with RIPE Source”, Le Vide, Couch. Minces, Suppl. No. 246, 160–162, März/April 1989

    Google Scholar 

  • Boyd, R.L.F.: “The Collection of Positive Ions by a Probe in an Electrical Discharge”, Proc. R.y. Soc. (London) A201, 329–347 (1950)

    Google Scholar 

  • Brandt, K.P.; Jungblut, H.: “The Interaction Potential of SF6 Ions in SFt~ Parent Gas Determined from Mobility Data”, J. Chem. Phys. 78 (4), 1999–2007 (1983)

    Google Scholar 

  • Brandt, W.; Laubert, R.: “Unified Sputtering Theory”, NucL Instrum. Methods 47, 201–209 (1967)

    Google Scholar 

  • Brockhaus Enzyklopädie, 19. Auflage, FA. Brockhaus, Mannheim, 1989

    Google Scholar 

  • Brown, S.C.: “Breakdown in Gases: Alternating and High Frequency Fields”, in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 22, Gasentladungen II, Springer, Berlin/Göttingen/Heidelberg, 1956

    Google Scholar 

  • Brown, S.C.: “Introduction to Electrical Disharges in Gases”, Wiley, New York, 1966

    Google Scholar 

  • Burton, R.H.; Smolinsky, G.: “CC14 and C12 Plasma Etching of III-V Semiconductors and the Role of Added 02”, J. Electrochem. Soc. 129 (7), 1599–1604 (1982)

    Google Scholar 

  • Burton, R.H.; Hollien, C.L.; Marchant, L.; Abys, S.M.; Smolinsky, G.; Gottscho, R.A.: “Etching of Gallium Arsenide and Indium Phosphide in RF Discharges through Mixtures of Trichlorofluoromethane and Oxygen”, J. Appl. Phys. 54 (11), 6663–6670 (1983)

    Google Scholar 

  • Butler, H.S.; Kino, G.S.: “Plasma Sheath Formation by Radio-Frequency Fields”, Phys. Fluids 6 (9), 1346–1355 (1963)

    Google Scholar 

  • Cantin, A.; Gagne, R.RJ.: “Pressure Dependence of Electron Temperature Using RF-Floated Electrostatic Probes in RF Plasmas”, AppL Phys. Lett. 30 (7), 316–319 (1977)

    Google Scholar 

  • Carter, G.; Colligon, J.S; Nobes, MJ.: “The Equilibrium Topography of Sputtered Amorphous Solids II”, J. Mat. Sci. 6, 115–117 (1971)

    Google Scholar 

  • Carter, G.; Colligon, J.S.; Nobes, MJ “The Growth of Topography during Sputtering of Amorphous Solids”, J. Mat. Sci. 8, 1473–1481 (1973)

    Google Scholar 

  • Carter, G.; Nobes, MJ.: “The Theory of Development of Surface Morphology by Sputter Erosion Processes”, Chapter 5 in “Ion Bombardement Modification of Surfaces”, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokio, 1984, S. 163–224

    Google Scholar 

  • Chang, R.P.H.; Sinha, A.K.: “Plasma Oxidation of GaAs”, Appl. Phys. Lett. 29 (1), 56–58 (1976)

    Google Scholar 

  • Chapman, B.N.; Downer, D.; Guimaraes, LJ.M.: “Electron Effects in Sputtering and Cosputtering”, J. Appl. Phys. 45 (5), 2115–2120 (1974)

    Google Scholar 

  • Chapman, B.N.; Minkiewicz, VJ.: “Triode Plasma Etching”, Appl. Phys. Lett. 34, 192 (1979)

    Google Scholar 

  • Chapman, B.: “Glow Discharge Processes: Sputtering and Plasma Etching”, John Wiley and Sons, New York, NY, 1980

    Google Scholar 

  • Chen, F.F, F.F.: “Introduction to Plasma Physics”, Plenum Press, New York und London, 2nd ed., 1976

    Google Scholar 

  • Chen, F.F.: “Electric Probes”, in “Plasma Diagnostic Techniques”, ed. by R.H. Huddlestone und S.F. Leonard, Academic Press, New York 1965

    Google Scholar 

  • Chen, FF.: priv. Mitteilung

    Google Scholar 

  • Cherrington, B.E.: “Gaseous Electronics and Gas Lasers”, Pergamon, New York, 1979

    Google Scholar 

  • Coburn, J.W.; Kay, E.: “Positive-Ion Bombardement of Substrates in RF Diode Glow Discharge Sputtering”, J. Appl. Phys. 43, 4965–4971 (1972)

    Google Scholar 

  • Coburn, J.W.; Taglauer, E.; Kay, E.: “A Study of the Neutral Species RF Sputtered from Oxide Targets”, Japn. J. AppL Phys. Suppl 2, 501–504 (1974)

    Google Scholar 

  • Coburn, J.W.; Kay, E.: “Some Chemical Aspects of the Fluorocarbon Plasma Etching of Silicon and its Compounds”, Solid State TechnoL 22 (4), 117–124 (1979)

    Google Scholar 

  • Coburn, J.W.; Kay, E.: “ IBM J. Res. Develop. 23, 33 (1979)

    Google Scholar 

  • Coburn, J.W.; Winters, H.F.: “Plasma Etching-a Discussion of Mechanisms”, J. Vac. Sci. TechnoL 16 (2), 391 (1979)

    MathSciNet  Google Scholar 

  • Coburn, J.W.; Winters, H.F.: “Ion and Electron Assisted Gas-Surface-Chemistry-an Important Effect in Plasma Etching”, J. Appl. Phys. 50, 3189 (1979)

    Google Scholar 

  • Coburn, J.W.; Chen, M.: “Optical Emission Spectroscopy of Reactive Plasmas: A Method for Correlating Emission Intensities to Reactive Particle Density”, J. AppL Phys. 51 (6), 3134–3136 (1980)

    Google Scholar 

  • Coburn, J.W.: “Plasma-Assisted-Etching”, Plasma Chemistry and Plasma Processing 2 (1), 1 (1982)

    Google Scholar 

  • Cooperman, S.S.; Choi, H.K.; Sawin, H.H.; Kolesar, DF.: “Reactive Ion Etching of GaAs and AlGaAs in a BC13-Ar Discharge”, J. Vac. Sci. Technol. B7 (1), 41–46 (1989)

    Google Scholar 

  • Cox, T.I.; Deshmukh, U.G.I.; Hope, D.A.O.; Hydes, A.J.; Braithwaite, N.SJ.; Benjamin, N.M.P.: “The Use of Langmuir Probes and Optical Emission Spectroscopy to Measure Electron Energy Distribution Functions in RF-Generated Argon Plasmas”, J. Phys. D: AppL Phys. 20, 820–831 (1987)

    Google Scholar 

  • Croxton, C.A.: “Liquid State Physics”, Cambridge University Press, 1974

    Google Scholar 

  • Cuomo, JJ.; Gambino, RJ.: “Influence of Sputtering Parameters on the Composition of Multi-Component Films”, J. Vac. Sci. Technol 12 (1), 79 (1975)

    Google Scholar 

  • Cuomo, JJ.; Harper, J.M.E.; Guarneri, C.R.; Yee, D.S.; Attanasio, LJ.; Angilello, J.; Wu, C.T.; Hammond, R.H.: “Modification of Niobium Film Stress by Low-Energy Ion Bombardement during Deposition”, J. Vac. Sci. Technol 20 (3), 349–354 (1982)

    Google Scholar 

  • Davies, B.; Christiansen, PJ.: “Helicon Waves in a Gaseous Plasma”, Plasma Phys. 11, 987–1000 (1969)

    Google Scholar 

  • Davies, B.: “Helicon Wave Propagation: Effect of Electron Inertia”, J. Plasma Phys. 4 (1), 43–50 (1970)

    Google Scholar 

  • Davis, W.D.; Vanderslice, TA.: “Ion Energies at the Cathode of a Glow Discharge”, Phys. Rev. 131, 219 (1963)

    Google Scholar 

  • Dimigen, H.; Lüthje, H.: “An Investigation of Ion Etching”, Philips Techn. Rev. 35 (7/8), 199–208 (1975)

    Google Scholar 

  • Dimigen, H.; Lüthje, H.; Hubsch, H.; Convertini, U.: “Influence of Mask Material on Ion Etched Structures”, J. Vac. Sci. Technol. 13 (4), 976–980 (1976)

    Google Scholar 

  • Donnelly, V.M.; Flamm, D.I.: “Anisotropic Etching in Chlorine-Containing Plasmas”, Solid State Technol. 24 (4), 161–166 (1981)

    Google Scholar 

  • Donnelly, V.M.; Flamm, D.L.; Collins, GJ.: “Studies of Plasma Etching of III/V Semiconductors Using in-Situ Optical Diagnostic Techniques”, Electrochem. Soc. Fall Meeting, Denver, CO, Extend. Abstr. 812, 621–624 (1981)

    Google Scholar 

  • Donnelly, V.M.; Flamm, D.I.; Tu, C.W.; Ibbotson, D.E.: “Temperature Dependence of InP and GaAs Etching in a Chlorine Plasma”, J. Electrochem. Soc. 129 (11), 2533–2537 (1982)

    Google Scholar 

  • Donnelly, V.M.; Flamm, D.I.; Ibbotson, D.E.: “Plasma Etching of III/V Semiconductors”, J. Vac. Sci. Technol. A1 (2), 626–628 (1983)

    Google Scholar 

  • Donnelly, V.M.; Ibbotson, D.E.; Flamm, D.L.: “Fundamental Aspects of Plasma-Surface Interactions and the Etching Process”, Chapter 8 in “Ion Bornbardement Modification of Surfaces”, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokio, 1984, S. 323–359

    Google Scholar 

  • Donnelly, V.M.; Flamm, D.L.; Bruce, R.H.: “Effects of Frequency on Optical Emission, Electrical, Ion, and Etching Characteristics of a Radio Frequency Chlorine Plasma”, J. AppL Phys. 58 (6), 2135–2144 (1985)

    Google Scholar 

  • Druyvesteyn, M.J.; Penning, F.M.: “The Mechanism of Electrical Discharges in

    Google Scholar 

  • Gases of Low Pressure“, Rev. Mod. Phys. 12,87–174, (1940)

    Google Scholar 

  • Egerton, E.J.; Nef, A.; Millikin, W.; Cook, W.; Baril, D.: “Positive Wafer Temperature Control to Increase Dry Etch Throughput and Yield”, Solid State Technol 25 (8), 84–87 (1982)

    Google Scholar 

  • Engel, A.v.; Steenbeck, M.: “Elektrische Gasentladungen”, Springer, Berlin/ Heidelberg/New York, 1934

    MATH  Google Scholar 

  • Engel, A.v.: “Ionized Gases”, Clarendon Press, Oxford, 1955

    MATH  Google Scholar 

  • Ferrari, R.L.; Klozenburg, J.P.: “The Dispersion and Attenuation of Helicon Waves in a Cylindrical Plasma-Filled Wave-Guide”, J. Plasma Phys. 2 (2), 283–289 (1968)

    Google Scholar 

  • Finckelnburg, W.; Maecker, H, W.; Maecker, H.: “Elektrische Bögen und thermisches Plasma” in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 22, Gas-entladungen II, Springer, Berlin/Göttingen/Heidelberg, 1956

    Google Scholar 

  • Flamm, D.L.: “Measurements and Mechanisms of Etchant Production During the

    Google Scholar 

  • Plasma Oxidation of CF and C2F6“, Solid State Technol. 22 (4),109–116 (1979)

    Google Scholar 

  • Forster J.; Holber, W.: “Plasma Characterization for a Divergent Field Electron Cyclotron Resonance Source”, J. Vac. Sci. Technol A7 (3), 899–902 (1989)

    Google Scholar 

  • Francis, G, G.: “The Glow Discharge at Low Pressure” in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 22, Gasentladungen II, Springer, Berlin/Göttingen/Heidelberg, 1956

    Google Scholar 

  • Franz, G.: Siemens–Laborbericht LB 1–603 v. 27–10–1981 (unveröffentlicht) Franz, G.: 1983, bisher unveröffentlicht

    Google Scholar 

  • Franz, G.: “Anodic Oxidation of InP Using a Citric-Acid-Based Solution”, J. Appl. Phys. 63 (2), 500–505 (1988)

    Google Scholar 

  • Franz, G.: 1988 II, bisher unveröffentlicht

    Google Scholar 

  • Franz, G.: “Characterization of Gold Layers Selectively Plated by a Pulsed Current”, Thin Solid Films 169 (1), 105–115 (1989)

    Google Scholar 

  • Franz, G.: “Reactive Ion Etching of III/V Semiconductors Using Carbon-Containing Gases-A Comprehensive Statistical Approach”, J. Electrochem. Soc. 137 (9), 2896–2903 (1990)

    Google Scholar 

  • Garscadden, A.; Emeleus, K.G.: “Notes on the Effect of Noise on Langmuir Probe Characteristics”, Proc. Roy. Soc. 79, 535–541 (1962)

    Google Scholar 

  • Geis, M.W.; Lincoln, J.A.; Efremow, N.; Piacentini, W.J.: “A Novel Anisotropic Dry Etching Technique”, J. Vac. Sci. Technol. 19 (4), 1390–1393 (1981)

    Google Scholar 

  • Ghanbari, E.; Trigor I.; Nguyen, T.: “A Broad Beam Electron Cyclotron Resonance Ion Source for Sputtering Etching and Deposition of Material”, J. Vac. Sci. Technol. A7 (3), 918–924 (1989)

    Google Scholar 

  • Glöersen, P.G.: “Masking for Ion Beam Etching”, Solid State Technol. 19 (4), 68–73 (1976)

    Google Scholar 

  • Green, F.A.; Chapman, B.N.: “Electron Effects in Magnetron Sputtering”, J. Vac. Sci. Technol. 13 (1), 165–168 (1976)

    Google Scholar 

  • Greene, J.E.; Sequeda-Osorio, F.: “Glow Discharge Optical Monitoring Sputter Deposited Film Thickness”, J. Vac. 6, 1144–1149 (1973)

    Google Scholar 

  • Grove, W.R.: “On the Electro-Chemical Polarity of Gases”, Soc. (London) 142, 87–101 (1852)

    Google Scholar 

  • Hagstrum, H.D.: “Theory of Auger Ejection of Electrons Ions”, Phys. Rev. 96 (2), 336–365 (1954)

    Google Scholar 

  • Hagstrum, H.D.: “Auger Ejection of Electrons from Tungsten by Noble Gas Ions”, Phys. Rev. 104(2), 317–318 ( 1956 I )

    Google Scholar 

  • Hagstrum, H.D.: “Auger Ejection of Electrons from Molybdenum by Noble Gas Ions”, Phys. Rev. 104(3), 672–683 ( 1956 I I )

    Google Scholar 

  • Hagstrum, H.D.: “Effect of Monolayer Absorption on the Ejection of Electrons from Molecules by Ions”, Phys. Rev. 104 (6), 1516–1527 (1956)

    Google Scholar 

  • Hale, D.H.: “The Townsend Coefficients for Ionization by Collision in Pure and Contaminated Hydrogen as a Function of the Cathode Material”, Phys. Rev. 55, 815–819 (1939)

    Google Scholar 

  • Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.; Robinson, R.S.: “Mean Free Path of Negative Ions in Diode Sputtering”, J. Vac. Sci. Technol. 15 (4), 1597–1600 (1978)

    Google Scholar 

  • Harper, J.M.E.; Cuomo, Ji.; Leary, PA.; Summa, G.M.; Kaufman, H.R.; Bresnock, Fi.: “Low Energy Ion Beam Etching”, J. Electrochem. Soc. 128 (5), 1077–1083 (1981)

    Google Scholar 

  • Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.: “Modification of Thin Film Properties by Ion Bombardement during Deposition”, in “Ion Bombardement Moification of Surfaces”, Chapter 4, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam 1984

    Google Scholar 

  • Harrison, D.E. jr.; Levy, N.S.; Johnson III, J.P.; Effron, H.M.: “Computer Simulation of Sputtering”, J. AppL Phys. 39, 3742–3761 (1968)

    Google Scholar 

  • Harshberger, W.R.; Miller, TA.; Norten, P.; Porter, R.A.: “A Study of the Optical Emission from a RF Plasma during Semiconductor Etching”, Interface ‘76-Kodak Microelectronics Seminar, Monterey, 1976, pp. 43–50

    Google Scholar 

  • Harshberger, W.R.; Porter, RA.; Miller, T.A.; Norton, P.: “A Study of the Optical Emission from a RF Plasma during Semiconductor Etching”, Appl. Spectroscopy 31 (3), 201–207 (1977)

    Google Scholar 

  • Heer, F.J. de; Inokuti, M.: “Total Ionization Cross Sections”, in “Electron Impact Ionization”, ed. by T.D. Märk and G.H. Dunn, Springer, Wien/ New York, 1985

    Google Scholar 

  • Heidenreich III, J.E.; Paraszczak, J.R.; Moisan, M.; Sauve, G.: “Electron Energy Distributions in Oxygen Microwave Plasmas”, J. Vac. Sci. TechnoL B6 (1), 288–292 (1988)

    Google Scholar 

  • Heinecke, RA.H.: “Plasma Etching of Films at High Rates”, Solid State Technol 21 (4), 104 (1978)

    Google Scholar 

  • Henry, D.; Moore, A.; Durandet, A.; Boswell, R.: “Resonant Inductive Plasma

    Google Scholar 

  • Etching (RIPE): Evaluation of an Industrial Prototype“, Le Vide, les

    Google Scholar 

  • Couches Minces, Supplement No. 246, März/April 1989, 299–301

    Google Scholar 

  • Herlin, MA.; Brown, S.C.: “Breakdown of a Gas at Microwave Frequencies”, Phys. Rev. 74 (3), 291–296 (1948)

    Google Scholar 

  • Herzberg, G.: “Spectra of Diatomic Molecules”, D. van Nostrand Inc., Princeton, NJ, 1950

    Google Scholar 

  • Hetzler, U., and Kay, E.: “Conduction Mechanisms in Plasma-Polymerized Tetrafluoro-ethylene Films”, J. Appl. Phys. 49 (11), 5617–5623 (1978)

    Google Scholar 

  • Hieber, K.: “Radio Frequency Sputter Deposition of Alloy Films”, Siemens Forsch.- u. Entw. Ber. 11 (3), 145–148 (1982)

    Google Scholar 

  • Hirsch, E.H.: “Plasma Probes and the Langmuir Paradox”, Inter. J. Electron. 19, 537–548 (1965)

    Google Scholar 

  • Hoffman, D.W.; Gaerttner, M.R.: “Modification of Evaporated Chromium by Concurrent Ion Bombardement”, J. Vac. Sci. Technol. 17 (1), 425–428 (1980)

    Google Scholar 

  • Horwitz, C.M.: “RF Sputtering-Voltage Division between two Electrodes”, J. Vac. Sci. Technol. A1 (1), 60–68 (1983)

    Google Scholar 

  • Hou, M.; Robinson, M.T.: “The Conditions for Total Reflection of Low-Energy Atoms from Crystal Surfaces”, AppL Phys. 17, 371–375 (1978)

    Google Scholar 

  • Hu, E.L.; Howard, R.E.: “Reactive Ion Etching of GaAs and InP Using CC12F2/Ar/02”, Appl. Phys. Lett. 37 (11), 1022–1024 (1980)

    Google Scholar 

  • Hu, E.L.; Howard, R.E.: “Reactive Ion Etching in a Chlorine Plasma”, J. Vac. Sci. Technol. B2 (1), 85–88 (1984)

    Google Scholar 

  • Hudson, W.R.: “Ion Beam Texturing”, J. Vac. Sci. Technol. 14 (1), 286–289 (1977)

    Google Scholar 

  • Hussla, I.; Enke, K.; Grunwald, H.; Lorenz, G.; Stoll, H.: “In-situ Silicon-Wafer Temperature Measurements during RF Argon-Ion Plasma Etching via Fluoroptic Thermometry”, J. Phys. D Appl. Phys. 20, 889–896 (1987)

    Google Scholar 

  • Ingold, J.H.: “Glow Discharges at DC and Low Frequencies, Anatomy of the Discharge”, in: “Gaseous Electronics”, Vol. I, ed. by M.N. Hirsh and HJ. Oskam, Academic Press, New York 1978

    Google Scholar 

  • Ingram, S.G.; Braithwaite, N.SJ.: “Ion and Electron Energy Analysis at a Surface in an RF Discharge”, J. Phys. D Appl. Phys. 21, 1496–1503 (1988)

    Google Scholar 

  • Jackson, J.D.: “Classical Electrodynamics”, J. Wiley, New York/London/Sydney, 1962

    Google Scholar 

  • Jones, R.E.; Winters, H.F.; Maissel, LJ.: “Effect of Oxygen on the RF-Sputtering Rate of SiO2”, J.Vac. Sci. Technol. 5, 84 (1968)

    Google Scholar 

  • Kaneko, H.; Miyake, K.; Teramoto, T.: “Preparation and Properties of Reactively Sputtered Tungsten Oxide Films”, J. Appl. Phys. 53 (14), 3070–3075 (1982)

    Google Scholar 

  • Kaufman, H.R.; Reader, P.D.: “Experimental Performance of Ion Rockets Employing Electron Bombardement Sources”, Amer. Rocket Soc. Paper No. 1374–60, 3–20 (1960)

    Google Scholar 

  • Kaufman, H.R.: “An Ion Rocket with an Electron-Bombardement Ion Source”, NASA Techn. Note TN D-585, 1–39, Jan. 1961

    Google Scholar 

  • Kaufman, H.R.: “Technology of Electron-Bombardement Ion Thrusters” in: “Advances in Electronics and Electron Physics”, Vol. 36, S. 265–373, Academic Press, New York/San Francisco/London, 1974

    Google Scholar 

  • Kaufman, H.R.: “Technology of Beam Sources Used in Sputtering”, J. Vac. Sci. Technol. 15 (2), 272–276 (1978)

    Google Scholar 

  • Kaufman, H.R.; Robinson, R.S.: “Ion Beam Texturing of Surfaces”, J. Vac. Sci. Technol. 16 (2), 175–178 (1979)

    MathSciNet  Google Scholar 

  • Kaufman, H.R.: “Broad-Beam Ion Sources: Present Status and Future Directions”, J. Vac. Sci. Technol. A4 (3), 764–771 (1986)

    Google Scholar 

  • Keller, J.H.; Pennebaker, WB.: “Electrical Properties of RF Sputtering Systems”, IBM J. Res. Develop. 23 (1), 3–15 (1979)

    Google Scholar 

  • Kippenhahn, R.; Möllenhoff, C.: “Elementare Plasmaphysik”, Bibliographisches Institut Mannheim/ Wien/Zürich, 1975

    Google Scholar 

  • Kirk, R.W.: “Applications of Plasma Technology to the Fabrication of Semiconductor Devices”, Chapter 9 in: “Technologies and Applications of Plasma Chemistry”, ed. by J.R. Hollahan and A.T. Bell, J. Wiley and Sons, New York, NY, 1974

    Google Scholar 

  • Kittel, C.: “Introduction to Solid State Physics”, 4th ed., J. Wiley and Sons, New York/London /Sydney/Toronto, 1971

    Google Scholar 

  • Klozenberg, J.P.; McNamara, B.; Thonemann, P.C.: “The Dispersion and Attenuation of Helicon Waves in a Uniform Cylindrical Plasma”, J. Fluid Mech. 21 (3), 545–563 (1965)

    Google Scholar 

  • Knudsen, M.: “Die Molekülströmung der Gase durch Öffnungen und die Effusion”, Ann. Phys. 28, 999–1016 (1909)

    MATH  Google Scholar 

  • Koenig, H.R.; Maissel, L.J.: “Application of RF Discharges to Sputtering”, IBM J. Res. Develop. 14, 168 (1970)

    Google Scholar 

  • Köhler, K.; Coburn, J.W.; Horne, D.E.; Kay, E.; Keller, J.H.: “Plasma Potentials of 13.56-MHz RF Argon Glow Discharges in a Planar System”, J. Appl. Phys. 57 (1), 59–66 (1985)

    Google Scholar 

  • Köhler, W.E.: “Electron Energy Distribution for RF Glow Discharges in HC1 and CC14, Proc. 18th Intern. Conf. Phenomena in Ionized Gases, Swansea, 1987, ed. by W.T. Williams

    Google Scholar 

  • Kortüm, G, G.:“Lehrbuch der Elektrochemie”, Verlag Chemie, Weinheim, 1972

    Google Scholar 

  • Kushner, M.J.: “Monte-Carlo Simulation of Electron Properties in RF Parallel Plate Capacitively Coupled Discharge”, J. Appl. Phys. 54 (9), 4958–4964 (1983)

    Google Scholar 

  • Kushner, Mi.: “Distribution of Ion Energies Incident on Electrodes in Capacitively Coupled RF Discharges”, J. Appl. Phys. 58 (11), 4024–4031 (1985)

    Google Scholar 

  • Laegreid, N.; Wehner, G.K.: “Sputtering Yields of Metals for Al.’ and Ne’ Ions with Energies from 50 to 600 eV”, J. Appl. Phys. 32 (3), 365–369 (1961)

    Google Scholar 

  • Landau, L.D.; Achieser, A.I.; Lifschitz, E.M.: “Mechanik und Molekularphysik”, Akademie-Verlag, Berlin, 1970

    Google Scholar 

  • Landau, L.D.; Lifschitz, E.M, L.D.; Lifschitz, E.M.: “Lehrbuch der theoretischen Physik VIII: Elektrodynamik der Kontinua”, Akademie-Verlag, Berlin, 1975

    Google Scholar 

  • Langmuir, I.: “Positive Ion Currents in the Positive Column of the Mercury Arc”, Gen. Electr. Rev. 26, 731–735 (1923)

    Google Scholar 

  • Langmuir, I.; Mott-Smith, H.: “Studies of Electric Discharges in Gases of Low Pressures” Part I, Gen. Electr. Rev. 27, 449–455 583, 616, 726, 810 (1924)

    Google Scholar 

  • Langmuir, I.: “Oscillations in Ionized Gases”, Proc. Nat. Acad. Sci. 14, 627–637 (1928)

    Google Scholar 

  • Lax, B.; Allis, W.P.; Brown, S.C.: “The Effect of Magnetic Field on the Breakdown of Gases at Microwave Frequencies”, J. AppL Phys. 21, 1297–1304 (1950)

    MATH  Google Scholar 

  • Lee, Robert E.: “Microfabrication by Ion-Beam Etching”, J. Vac. Sci. TechnoL 16 (2), 164–170 (1979)

    Google Scholar 

  • Lee, Y. H.; Heidenreich III, I.E.; Fortuno, G.: “Plasma Characterization of an Electron Cyclotron Resonance-Radiofrequency Hybrid Plasma Reactor”, J. Vac. Sci. Technol A7 (3), 903–907 (1989)

    Google Scholar 

  • Lincoln, J. A. et al: “Ion Beam Assisted Etching for GaAs Device Applications”, J. Vac. Sci. Technol. 20 (3), 786–789 (1982)

    Google Scholar 

  • Little, P.F.; Engel, A.v.: “The Hollow-Cathode Effect and the Theory of Glow Discharges”, Proc. Roy. Soc. (London) A224, 209–227 (1954)

    Google Scholar 

  • Logan, J.S.; Mozza, N.M.; Davidse, PD.: “Electrical Characterization of Radio- Frequency Sputtering Gas Discharge”, J. Vac. Sci. Technol. 6 (1), 120–123 (1969)

    Google Scholar 

  • Luthier, P.; Levy, F.; Jotterand, H.: “Cosputtering Equipment Based on two Independent RF Magnetron Sources in a UHV Chamber”, IPAT Proc. 7, 37–41 (1989)

    Google Scholar 

  • Mac Donald, A.D.; Tetenbaum, S.J.: “High Frequency and Microwave Discharges”, in “Gaseous Electronics”, Vol I, ed. by M.N. Hirsh and H.J. Oskam, Academic Press, New York, 1978

    Google Scholar 

  • Maissel, L.: “Application of Sputtering to the Deposition of Films” in “Handbook of Thin Film Technology”, Chapter 4, ed. by L.I. Maissel und R. Glang, McGraw Hill, New York, 1970

    Google Scholar 

  • Mansour, M.; Salama, M.S.; Arnell, D.R.; Mostafa, AA.F.: “Mechanical Characterization of Si3N4 Coatings Deposited by Reactive Sputter Ion Plating”, IPAT Proc. 7, 196–201 (1989)

    Google Scholar 

  • Massey, H.S.W.; Burhop, E.H.S, H.S.W.; Burhop, E.H.S.: “Electronic and Ionic Impact Phenomena”, Vol 1, Oxford University Press, London and New York, 1969

    Google Scholar 

  • Mattox, D.M.; McDonald, J.E.: “Interface Formation during Thin Film Deposition”, J. Appl. Phys. 34, 2493–2494 (1963)

    Google Scholar 

  • Maundrill, D.; Slatter, J.; Spiers, A.J.; Welch, C.C.: “Electrical Measurements of RF-Generated Plasmas Using a Driven Electrostatic Probe Technique”, J. Phys. D: Appl. Phys. 20, 815–819 (1987)

    Google Scholar 

  • McDaniel, E.W, E.W.:“Collision Phenomena in Ionized Gases”, J. Wiley, New York 1964

    Google Scholar 

  • McLeod, P.S.: “Reactive Sputtering”, Solid State Technol. 26 (10), 207–211 (1983)

    Google Scholar 

  • Melliar-Smith, C.M.: “Ion Etching for Pattern Delineation”, J. Vac. Sci. Technol 13 (5), 1008–1022 (1976)

    Google Scholar 

  • Melliar-Smith, C.M.; Mogab, CJ.; in “Thin Film Processes”, ed. by J.L. Vossen and W. Kern, Academic Press, New York 1978, p. 497–556

    Google Scholar 

  • Mierdel, G.: “Elektrophysik”, Dr. Alfred Hüthig Verlag, Heidelberg 1972

    Google Scholar 

  • Millard, M.: “Synthesis of Organic Polymer Films in Plasmas”, Chapter 5 in “Techniques and Applications of Plasma Chemistry”, ed. by J.R. Hollahan and A.T. Bell, J. Wiley and Sons, New York, NY, 1974

    Google Scholar 

  • Möhl, W.: “ECR-Mikrowellen-Ionenstrahl/Plasmastromquelle für die Bearbeitung von Halbleitermaterialien”, GME-Fachtagung, Productronica München 1989, Fachbericht 5

    Google Scholar 

  • Mönl, W.: “Plasmadiagnostische Untersuchungen mit Doppel-und Dreifachsonden an einem Labormodell des Ionentriebwerkes RIT 10”, Diplomarbeit, Gießen, 1975

    Google Scholar 

  • Mogab, CJ.: “The Loading Effect in Plasma Etching”, J. Electrochem. Soc. 124, 1262 (1977)

    Google Scholar 

  • Mogab, C.J.; Levinstein, Hi.: “Anisotropic Plasma Etching of Polysilicon”, J. Vac. Sci. Technol. 17 (3), 721–730 (1980)

    Google Scholar 

  • Moll, E.: “Hard Wear Resistant Coatings”, IPAT Proc. 7, 1–8 (1989)

    Google Scholar 

  • Morley, J.; Smith, H.R.: “High Vacuum Ion Production for Vacuum Deposition”, J. Vac. Sci. Technol. 9 (6), 1377–1378 (1972)

    Google Scholar 

  • Morgan, G.D.: “Origin of Striations in Discharges”, Nature, London 172 (9), 542 (1953)

    Google Scholar 

  • Mucha, JA.; Hess, D.W.: “Plasma Etching, Chapter 5 in ”Introduction to Micro-lithography: Theory, Materials, and Processing“, ed. by L.F. Thompson and C.G. Wilson, Amer. Chem. Soc. Symposium Series 219, 1983, p. 216–285

    Google Scholar 

  • Müller, K.P.; Heinrich, F.; Mader, H.: “Magnetically Enhanced Reactive Ion Etching (MERIE) with Different Field Configurations”, Microelectron. Eng. 10, 55–67 (1989)

    Google Scholar 

  • Nakamura, M.; Itoga, M.; Ban, Y.: “Investigation of Aluminum Plasma Etching by Some Halogenized Gases”, 1980 Proc. Electrochem. Abstr. No. 114, 298–300

    Google Scholar 

  • Nasser, E, E.: “Fundamentals of Gaseous Ionization and J. Wiley Interscience New York NY, 1971

    Google Scholar 

  • Neugebauer, C.A.: “Condensation, Nucleation, and Growth ”Handbook of Thin Film Technology“, Chapter 8, ed. R. Glang, McGraw Hill, New York, 1970

    Google Scholar 

  • Niggebrügge, U.; Klug, M.; Garus, G.: “A Novel Process for Reactive Ion Etching on InP Using CH4/H2”, Inst. Phys. Conf. Ser. 79, 367–372 (1986)

    Google Scholar 

  • Nighan, W.L.: “Electron Energy Distributions and Collision Rates in Electrically Excited N2, CO and CO2”, Phys. Rev. A2 (5), 1989–2000 (1970)

    Google Scholar 

  • Nobes, M.J.; Colligon, J.S.; Carter, G.: “The Equilibrium Topography of Sputtered Amorphous Solids”, J. M.t. Sci. 4, 730–733 (1969)

    Google Scholar 

  • Okuda, T.; Yamamoto, K.: “Disturbance by Probe in a Plasma”, J. Phys. Soc. Japan 11, 177–178 (1956)

    Google Scholar 

  • Park, S.C.; Stansfield, RA.; Clary, D.C.: “Sputtering of a Cu Solid by SiC14 Molecules”, J. Phys. D.: AppL Phys. 20, 880–888 (1987)

    Google Scholar 

  • Pashley, D.W.; in “Thin Films”, Chapter 3: “The Growth and Structure of Thin Films”,American Society for Metals, Metals Park, OH, p. 59–98, 1963

    Google Scholar 

  • Pashley, D.W.; Strowell, M.J.; Jacobs, M.H.; Law, Ti.: “The Growth and Structure of Gold and Silver Deposits Formed by Evaporation Inside an Electron Microscope”, Phil. Mag. 10, 127–158 (1964)

    Google Scholar 

  • Peccoud, L.; Laporte, P.; Arroyo, J.; Charlet, B.: “New Trends and Limits in Plasma Etching”, J. Phys. D AppL Phys. 20, 851–857 (1987)

    Google Scholar 

  • Pennebaker, WB: “Influence of Scattering and Ionization on RF Impedance in Glow Discharge Sheaths”, IBM J. Res. Develop. 23 (1), 16–23 (1979)

    Google Scholar 

  • Pines, D, D.: “Elementary Excitations in Solids”, W.A. Benjamin, New York/Amsterdam, 1964

    Google Scholar 

  • Popov, O.A.: “Characteristics of Electron Cyclotron Resonance Plasma Sources”, J. Vac. Sci. Technol. A7 (3), 894–902 (1989)

    Google Scholar 

  • Posthill, JB.; Rudder, RA.; Hattangady, S.V.; Fountain, G.G.; Vitkavage, Di.; Markunas, R.J.: “Characterization of Epitaxial Ge Films Grown by Remote Plasma Enhanced Chemical Vapor Deposition on Ge (111) and GaAs (111) Substrates”, J. Vac. Sci. Technol. A7 (3), 1130–1135 (1989)

    Google Scholar 

  • Rand, Mi.: “Plasma-Promoted Deposition of Thin Inorganic Films”, J. Vac. Sci. Technol. 16 (2), 420–427 (1979)

    MathSciNet  Google Scholar 

  • Richards, A.P.; Thompson, B.E.; Allen, K.D.; Sawin, H.H.: “Atomic Chlorine Concentration Measurements in a Plasma Etching Reactor. I. A Comparison of Infrared Absorption and Optical Emission Actinometry”, J. Appl. Phys. 62 (3), 792–798 (1987)

    Google Scholar 

  • Robinson, R.S.: “Thirty-Centimeter-Diameter Ion Milling Source”, J. Vac. Sci. TechnoL 15 (2), 277–280 (1978)

    Google Scholar 

  • Robinson, R.S.: “Energetic Binary Collisions in Rare Gas Plasmas”, J. Vac. Sci. Technol. 16 (2), 185–188 (1979)

    Google Scholar 

  • Robinson, R.S.; Rossnagel, S.M.: “Diffusion Processes in Bombardement-Induced Surface Topography”, in “Ion Bombardement Modification of Surfaces”, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokio, 1984 S. 299–322

    Google Scholar 

  • Rockwood, S.D.: “Elastic and Inelastic Cross Sections for Electron-Hg Scattering from Hg Transport Data”, Phys. Rev. A8 (5), 2348–2358 (1973)

    Google Scholar 

  • Rogoff, G.L.; Kramer, J.M.; Piejak, R.B.: “A Model for the Bulk Plasma in an RF Chlorine Discharge”, IEEE Trans. Plasma Sci. PS 14 (2), 103–111 (1986)

    Google Scholar 

  • Roosmalen, AJ.: “Plasma Parameter Estimation from RF Impedance Measurements in a Dry Etching System”, Appl. Phys. Lett. 42 (5), 416–418 (1983)

    Google Scholar 

  • Roosmalen, AJ.; Hoek, W.G.M.v.d.; Kalter, H.: “Electrical Properties of Planar RF Discharges for Dry Etching”, J. App!. Phys. 58 (2), 653–658 (1985)

    Google Scholar 

  • Rose, DJ.; Brown, S.C.: “High Frequency Gas Discharge Plasma in Hydrogen”, Phys. Rev. 98 (2), 310–316 (1955)

    Google Scholar 

  • Rossnagel, S.M.; Robinson, R.S.; Kaufman, H.R.: “Impact Enhanced Surface Diffusion during Impurity Induced Sputter Cone Formation”, Surface Science 123, 89–98 (1982)

    Google Scholar 

  • Rossnagel, S.M.; Robinson, R.S.: “Quasi-Liquid State Observed on Ion Beam Microtextured Surfaces”, J. Vac. Sci. TechnoL 20 (3), 506–509 (1982)

    Google Scholar 

  • Rossnagel, S.M.; Robinson, R.S.: “Monte Carlo Model of Topography Development during Sputtering”, J. Vac. Sci. TechnoL A1 (2), 426–429 (1983)

    Google Scholar 

  • Rundle, H.W.; Clark, D.R.; Deckers, J.M.: “Electron Energy Distribution Functions in an 02 Glow Discharge”, Can. J. Phys. 51, 144–148 (1973)

    Google Scholar 

  • Salzer Technologies Enterprises, Inc.: “Synopsis-Spring Report 1988”, Santa Monica, CA 90403

    Google Scholar 

  • Sawin, H.H.: “A Review of Plasma Processing Fundamentals”, Solid State Technol. 28 (4), 211–216 (1985)

    Google Scholar 

  • Schmid, H.: “Applikationsbeispiele der ECR-Plasmastrom-Quelle”, EPP, Feb. 1990, 84

    Google Scholar 

  • Schwartz, G.C.; Rothman, LB.; Schopen, TJ.: “Competitive Mechanisms in Reactive Ion Etching in a CF4 Plasma”, J. Electrochem. Soc. 126 (3), 464–469 (1979)

    Google Scholar 

  • Schwartz, G.C.; Schaible, P.M.: “Reactive Ion Etching of Silicon: Temperature Effects”, Proc. Sympos. “Plasma Etching and Deposition” 1981, 133

    Google Scholar 

  • Schäfer, H.; Schnering, H.G.: “Metall-Metall-Bindungen bei niederen Halogeniden, Oxyden und Oxydhalogeniden schwerer Übergangsmetalle”, Angew. Chem. 76, 833–868 (1964)

    Google Scholar 

  • Scherzer, O.: “Theorie der Glimmentladung”, Arch. Elektrotechn. 33 (4), 207–228 (1939)

    MATH  Google Scholar 

  • Seaward, K.L.; Moll, NJ.; Coulman, DJ.: “An Analytical Study of Etch and Etch-Stop Reactions for GaAs on A1GaAs in CC12F2 Plasma”, J. Appl. Phys. 61 (6), 2358–2364 (1987)

    Google Scholar 

  • Seeböck, RJ.; Köhler, W.E.: “Temporal Intensity Modulation of Spectral Lines in a Low-Frequency Discharge in Argon”, J. AppL Phys. 64 (8), 3855–3862 (1988)

    Google Scholar 

  • Seeböck, RJ.; Köhler, W.E.; Rebentrost, F.: “Time Dependent Diagnostics and Modelling of an Argon Plasma Excited at 13.6 MHz”, Proc. 9th Intern. Sympos. Plasma Chemistry, Pugnochiuso, Italien, 1989, Vol. I, 493–498, ed. by R. d’Agostino

    Google Scholar 

  • Seeliger, R.; Sommermeyer, K.: “Bemerkung zur Theorie der Kathodenzerstäubung”, Z Phys. 93, 692–695 (1935)

    Google Scholar 

  • Severin, H.G.: “Sputtern-Die Erzeugung dünner Schichten”, Physik in uns. Zeit 17 (3), 71–79 (1986)

    Google Scholar 

  • Shinoki, F.; Itoh, A.: “Mass Spectrometric Analysis in RF Reactive Sputtering Discharge”, Japn. J. Appl. Phys. Suppl. 2 Pt. 1, 505 (1974)

    Google Scholar 

  • Shinoki, F.; Itoh, A.: “Mechanism of RF Reactive Sputtering”, J. Appl. Phys. 46 (8), 3381–3384 (1975)

    Google Scholar 

  • Sigmund, P.: “Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets”, Phys. Rev. 184 (2), 383–416 (1969)

    Google Scholar 

  • Sigmund, P.: “A Mechanism of Surface Micro-Roughening by Ion Bombardement”, J. Mat. Sci. 8, 1545–1553 (1973)

    Google Scholar 

  • Smith, H.I.; Meingailis, J.; Williamson, R.C.; Brogan, W.T.: “Ion Beam Etching of Surface Gratings”, Proc. 1973 Ultrasonics Sympos., ed. by T. de Klerk, IEEE, New York 1973, p. 558–563

    Google Scholar 

  • Sokolow, A.A.; Loskutow, J.M.; Ternow, I.M.: “Quantenmechanik”, Akademie-Verlag, Berlin, 1964

    MATH  Google Scholar 

  • Sommerfeld, A.: “Vorlesungen über Theoretische Physik: Partielle Differentialgleichungen der Physik”, 6. Auflage, Akadem. Verlagsgesellschaft, Geest and Portig KG, Leipzig 1966

    Google Scholar 

  • Sopori, B.L.; Chang, W.S.C.: “Some Investigations on Deposition and Etching Profiles in Masked RF Sputtering”, J. Vac. Sci. Technol. 14 (3), 782–785 (1977)

    Google Scholar 

  • Stark, J.: “Über die zerstäubende Wirkung der Kanalstrahlen (Emission sekundärer Atomstrahlen)”, Z Elektrochem. 14, 752–756 (1908)

    Google Scholar 

  • Stark, J.: “Zur Theorie der Zerstäubung durch Atomstrahlen”, ibid 15, 509–512 (1909)

    Google Scholar 

  • Steenbeck, M.: “Ähnlichkeitsgesetze für Gasentladungen und ihr Gültigkeitsbereich”, Wissenschaftl. Veröff. Siemens Werke 11, 36–51 (1932)

    Google Scholar 

  • Stewart, A.D.G.; Thompson, M.W.: “Microtopography of Surfaces by Ion-Bombardement”, J. Mat. Sci. 4, 56–60 (1969)

    Google Scholar 

  • Stix, T.A.: “Theory of Plasma Waves”, Mc Graw Hill, New York, 1962

    MATH  Google Scholar 

  • Stuart, R.V.; Wehner, G.K.; Anderson, G.S.: “Energy Distribution of Atoms Sputtered from Polycrystalline Metals”, J. Appl. Phys. 40 (2), 803–812 (1969)

    Google Scholar 

  • Suhl, B.; Walker, L.R.: “Topics in Guided-Wave Propagation through Gyromagnetic Media-Part I: The Completely Filled Cylindrical Guide”, 579–659

    Google Scholar 

  • Sun, M.H.; Kim, J.H.; Sandberg, C.L.: “Advances in Fluoroptic Thermometry: New Applications in Temperature Measurement”, Proc. Northeastern Conf. Exhib. Industr. “Instrumentation and Control”, 1985, 79–85

    Google Scholar 

  • Swift, J.D.; Schwar, M.J.R.: “Electrical Probes for Plasma Diagnostics”, ILIFFE Books, London, 1970

    Google Scholar 

  • Takimoto, K.; Ohnaka, K.; Shibata, J.: “Reactive Ion Etching of InP with Brz-Containing Gases to Produce Smooth, Vertical Walls: Fabrication of Etched-Faceted Lasers”, Appl. Phys. Lett. 54 (20), 1947–1949 (1989)

    Google Scholar 

  • Tardy, J.; Poiterin, J.M.; Lemperiere, G.: “Glow Discharge Mass Spectrometry of Silicon DC Sputtering in Argon-Hydrogen”, J. Phys. D: AppL Phys. 14, 339–346 (1981)

    Google Scholar 

  • Taylor,W.S.; Dulak, J.G.: “Application of a New Glow Discharge Quadrupole Mass Spectrometer”, Spectros. Intern. 1 (6), 44–52 (1989)

    Google Scholar 

  • Teer, D.G.: “A Magnetron Sputter Ion Plating System”, IPAT Proc. 7, 145–152 (1989)

    Google Scholar 

  • Thomson, J.J.; Thomson, G.P.: “Conduction of Electricity through Gases”, 3rd ed., Cambridge University Press, Cambridge, 1933 (unveränderter Nachdruck von Dover Publ., New York, NY, 1968 )

    Google Scholar 

  • Thompson, B.E.; Allen, K.D.; Richards, A.D.; Sawin, H.H.: “Ion Bombardement Energy Distributions in Radio-Frequency Glow-Discharge Systems”, J. AppL Phys. 59 (6), 1890–1903 (1986)

    Google Scholar 

  • Thompson, B.E.; Sawin, H.H.: “Monte Carlo Simulation of Ion Transport through RF Glow-Discharge Sheaths”, J. AppL Phys. 63 (7), 2241–2251 (1988)

    Google Scholar 

  • Thompson, M.W.; Nelson, R.S.: “Evidence for Heated Spikes in Bombarded Gold from the Energy Spectrum of Atoms Ejected by 43 keV Ar’ and Xe`Ions”, PhiL Mag. 7, 2015–2026 (1962)

    Google Scholar 

  • Thompson, M.W.: “The Energy Spectrum of Ejected Atoms During the High Energy Sputtering of Gold”, Phil. Mag. 18, 377–414 (1968)

    Google Scholar 

  • Thornton, JA.: “Influence of Substrate Temperature and Deposition Rate on Structure of Thick Sputtered Cu Coatings”, J. Vac. Sci. Technol. 12 (4), 830–835 (1975)

    Google Scholar 

  • Thornton, JA.; Hoffman, D.W.: “Internal Stress in Amorphous Silicon Films Deposited by Cylindrical Magnetron Sputtering Using, Ne, Ar, Kr, Xe and Ar.F1, J. Vac. Sci. Technol. 18 (2), 203–207 (1981)

    MathSciNet  Google Scholar 

  • Thornton, JA.; Hoffman, D.W.: “The Influence of Discharge Current on the Intrinsic Stress in Mo Films Deposited Using Cylindrical and Planar Magnetron Sputtering Sources”, J. Vac. Sci. Technol A3 (3), 576–579 (1985)

    Google Scholar 

  • Tolliver, D.L.: “Plasma Processing in Microelectronics-Past, Present, and Future”, Solid State Technol 23 (11), 99 (1980)

    Google Scholar 

  • Tsong, I.S.T.; Barber, D.J.: “Review: Sputtering Mechanisms for Amorphous and Polycrystalline Solids”, J. Mat. Science 8, 123–135 (1973)

    Google Scholar 

  • Unsöld, A.: “Der neue Kosmos”, Springer, Berlin/Heidelberg/New York, 1967

    Google Scholar 

  • Vahrenkamp, H.: “Was wissen wir über die Metall-Metallbindung?”, Angew. Chem. 90, 408–416 (1978)

    Google Scholar 

  • Varnerin, L.J., jr; Brown, S.C.: “Microwave Determination of Average Electron Energies and the First Townsend Coefficient in Hydrogen”, Phys. Rev. 79 (6), 946–951 (1950)

    Google Scholar 

  • Ven, E.P.G.T. v. de; Kalter, H.: “ Plasma Photoresist Stripping of MOS Devices”, Paper 124 presented at The Electrochem. Soc. Meeting, Washington, D.C.; May 02–07 (1976)

    Google Scholar 

  • Vossen, J.L.; O’Neill, JJ., jr.: “RF-Sputtering Processes”, RCA Review 29, 149–179 (1968)

    Google Scholar 

  • Vossen, J.L.: “Inhibition of Chemical Sputtering of Organics and C by Trace Amounts of Cu Surface Contamination”, J. Appl. Phys. 47 (2), 544–546 (1976)

    Google Scholar 

  • Vossen, J.L.: “Glow Discharge Phenomena in Plasma Etching and Plasma Deposition”; J. Electrochem. Soc. 126 (2), 319–324 (1979)

    Google Scholar 

  • Ward, A.L.: “Calculation of Cathode-Fall Characteristics”, J. A.pl. Phys. 33 (9), 2789–2794 (1962)

    Google Scholar 

  • Wehner, G.K.: “Sputtering of Metal Single Crystals by Ion Bombardement”, JAppL Phys. 26 (18), 1056–1057 (1955)

    Google Scholar 

  • Wehner, G.: “Influence of the Angle of Incidence on Sputtering Yields”, J. AppL Phys. 30 (11), 1762–1765 (1959)

    Google Scholar 

  • Wehner, G.K.: “Annual Report on Sputtering Yields”, ONR Contr. Nonr. 15, 1589 (1959)

    Google Scholar 

  • Wehner, G.K.; Hajicek, D.J.: “Cone Formation on Metal Targets during Sputtering”, J. Appl. Phys. 42 (3), 1145–1149 (1971)

    Google Scholar 

  • Wehner, G.K.; Anderson, G.S.: “The Nature of Physical Sputtering”, in “Handbook of Thin Film Technology”, Chapter 3, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, 1970

    Google Scholar 

  • Weissler, G.L.: “Photoionization in Gases and Photoelectric Emission from Solids”, in “Handbuch der Physik”, herausgegeben von S. Flügge, Band 21, Gasentladungen I, S. 304–383, Springer, Berlin/Göttingen/Heidelberg, 1956

    Google Scholar 

  • Wertheimer, M.R.; Moisan, M.: “Comparison of Microwave and Lower Frequency Plasmas for Thin Film Deposition and Etching”, J. Vac. Sci. Technol. A3 (6), 2643–2649 (1985)

    Google Scholar 

  • Westermeier, H.: priv. Mitteilung (1989)

    Google Scholar 

  • Weston, G.F.: “Cold Cathode Glow Discharge Tubes”, ILIFFE Books, London, 1968 Westwood, W.D.: “Calculaton of Deposition Rates in Diode Sputtering Systems”, J. Vac. Sci. Technol. 15 (1), 1 (1978)

    MathSciNet  Google Scholar 

  • Wilson, I.H.; Kidd, M.W.: “A Study of Cones Developed by Ion Bombardement of Gold”, J. Mat. Science 6, 1362–1366 (1971)

    Google Scholar 

  • Winters, H.F.; Kay, E.: “Gas Incorporation into Sputtered Films”, J. Appl. Phys. 38 (10), 3928–3934 (1967)

    Google Scholar 

  • Winters, H.F.; Raimóndi, D.L.; Horne, D.E.: “Proposed Model for the Composition of Sputtered Multicomponent Thin Films”, J. Appl. Phys. 40 (7), 2996–3006 (1980)

    Google Scholar 

  • Winters, H.F, H.F.: “Physical Sputtering: A Discussion of Experiment and Theory”; Amer. Chem. Soc. Adv. Chem. Ser. 158, Radiative Effects on Solid Surfaces, ed. by M. Kaminsky, 1–29 (1976)

    Google Scholar 

  • Winters, H.F.; Coburn, J.W.; Kay, E.: “Plasma Etching-a ”Pseudo-BlackBox“Approach”, J. AppL Phys. 48 (12), 4973–4983 (1977)

    Google Scholar 

  • Wolf, G.K.; Ensinger, W.; Barth, M.: “Recent Progress in Ion Beam Assisted Evaporation for the Low Temperature Modification of Film Stress, Hardness and Porosity” IPAT Proc. 7, 36 (1989)

    Google Scholar 

  • Wright, A.W.: “On the Production of Transparent Metallic Films by the Electrical Discharge in Exhausted Tubes”, A.. J. Sci. 13 (3rd ser.) (1), 49–55 (1877)

    Google Scholar 

  • Yamamoto, K.; Okuda, T.: “On the Floating Probe Method for the Measurement of Ionized Gases”, J. Phys. Soc. Japan 11, 57–68 1956 )

    Google Scholar 

  • Yonts, O.C.; Harrison, D.E. jr.: “Surface Cleaning by Cathode Sputtering”, J. AppL Phys. 31 (9), 1583–1584 (1960)

    Google Scholar 

  • Zarowin, C.B.: “Plasma Etch Anisotropy-Theory and Some Verifying Experiments Relating Ion Transport, Ion Energy, and Transport Properties”, J. Electrochem. Soc. 130 (5), 1144–1152 (1983)

    Google Scholar 

  • Zarowin, C.B.: “Relation between the RF Discharge Parameters and Plasma Etch Rates, Selectivity, and Anisotropy”, J. Vac. Sci. Technol. A2 (4), 1537–1549 (1984)

    Google Scholar 

  • Ziman, M.J.: “Prinzipien der Festkörpertheorie”, Harri Deutsch, Zürich und Frankfurt/ Main, 1975

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 1990 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Franz, G. (1990). Literaturverzeichnis. In: Kalte Plasmen. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-08045-0_15

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-08045-0_15

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-53160-9

  • Online ISBN: 978-3-662-08045-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics