Skip to main content
  • 147 Accesses

Abstract

Defects may occur during the fabrication process and during the lifetime of integrated circuits. Integrating a faulty device into systems will result in expensive repairs or even in unsafe situations and should be avoided by testing the chips

This section explains defect mechanisms and their consequences for the product quality. Methods for test pattern generation are discussed, and it is shown how these methods can already be supported in the design phase. Modern systems-on-chip often have the capabilities of testing themselves, and recent built-in self-test techniques (BIST) are presented.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Vallet, D.P., Soden, J.M.: Finding fault with deep-sub micron ICs; IEEE Spectrum, Vol. 34, No. 10 (October 1997), pp. 39–50

    Google Scholar 

  2. Schertz, D.R., Metze, G.: A New Representation for Faults in Combinational Digital Circuits; IEEE Transactions on Computers, Vol. 21, (August 1972), pp. 858–866

    Google Scholar 

  3. Maly, W., Ferguson, F.J., Shen, J.P.: Systematic Characterization of Physical Defects for Fault Analysis of MOS IC Cells; Proc. ACM/IEEE International Test Conference, Philadelphia, October 1984, pp. 390–399

    Google Scholar 

  4. Maly, W.: Realistic Fault Modeling for VLSI Testing; Proc. 24th Design Automation Conference, Miami Beach 1987, pp. 173–180

    Google Scholar 

  5. Mangir, T.E.: Sources of Failures and Yield Improvement for VLSI and Restructurable Interconnects for RVLSI and WSI: Part I; Proc. IEEE, Vol. 72, No.6, (June 1984), pp. 690–708

    Google Scholar 

  6. Stapper, C.H.: LSI Yield Modeling and Process Monitoring; IBM Journal of Research and Development, Vol. 20, No.3, (May 1976), pp. 549–557

    Google Scholar 

  7. Feller, W.: An Introduction to Probability Theory and Its Applications, I; John Wiley & Sons, Third Edition, 1968

    Google Scholar 

  8. Nigh, P., Maly, W.: Layout-Driven Test Generation; Proc. ACM/IEEE International Conference on CAD, Santa Clara, California, 1989, pp. 154–157

    Google Scholar 

  9. Ferguson, F.J., Shen, J.P.: Extraction and Simulation of Realistic CMOS Faults using Inductive Fault Analysis; Proc. ACM/IEEE International Conference on CAD, Santa Clara, California, November 1988, pp. 475–484

    Google Scholar 

  10. Ferguson, F.J., Shen, J.P.: A CMOS Fault Extractor for Inductive Fault Analysis; IEEE Transactions on CAD, Vol. 7, No. 11, (November 1988), pp. 1181–1194

    Google Scholar 

  11. Jee, A. Ferguson, F.J.: Carafe: An Inductive Fault Analysis Tool for CMOS VLSI Circuits; Proc. IEEE Test Symposium, Atlantic City, New Jersey, April 1993, pp. 92–98

    Google Scholar 

  12. Corsi, F., Morandi, C.: Inductive Fault Analysis Revisited, Proc. IEEE Vol. 138, No.2, (April 1991), pp. 253–263

    Google Scholar 

  13. Ferris-Prabhu, A.V.: Modeling the Critical Area in Yield Forecasts; IEEE Journal on Solid-State Circuits, Vol. 20, No.4, (August 1985), pp. 874–877

    Google Scholar 

  14. Ferris-Prabhu, A.V.: Defect Size Variations and Their Effect on the Critical Area of VLSI Devices; IEEE Journal on Solid-State Circuits, Vol. 20, No.4, (August 1985), pp. 878–879

    Google Scholar 

  15. Hu, S.: Some Considerations in the Formulation of IC Yield Statistics; SolidState Electronics, Vol. 22, No.2, (February 1979), pp. 205–211

    Google Scholar 

  16. Stapper, C.H.: Modeling of Defects in Integrated Circuits Photolithographic Patterns; IBM Journal of Research and Development, Vo. 28, No.4, (July 1984), pp. 461–475

    Google Scholar 

  17. Stapper, C.H.: Modeling of Integrated Circuits Defect Sensitives; IBM Journal of Research and Development, Vol. 27, No.6, (November 1983), pp. 228–234

    Google Scholar 

  18. De Gyvez, J.P.: Integrated Circuit Defect-Sensitivity: Theory and Computational Models; Kluwer Academic Publishers, Boston/Dordrecht/London (1993)

    Book  Google Scholar 

  19. Stern, O., Wunderlich, H.-J.: Simulation Results of an Efficient Defect Analysis Procedure; Proc. ACM/IEEE International Test Conference, Washington, D.C., October 1994, pp. 729–738

    Google Scholar 

  20. Eldred, R.D.: Test Routines Based on Symbolic Logical Statements; Journal of the ACM, June, (1959), pp. 33–36

    Google Scholar 

  21. Poage, J.F.: Derivation of Optimum Tests to Detect Faults in Combinational Circuits; Proc. Symposium on Mathematical Theory of Automata; Polytechnic Press, New York, April 1963, pp. 483–528

    Google Scholar 

  22. Galey, J.M., Norby, R.E., Roth, J.P.: Techniques for the Diagnosis of Switching Circuit Failures; IEEE Transactions on Computers, Vol. 83, (September 1964), pp. 509–514

    Google Scholar 

  23. Armstrong, D.B.: On Finding a Nearly Minimal Set of Fault Detection Tests for Combinational Logic Nets; IEEE Transactions on Computers, Vol. 15, (February 1966), pp. 66–73

    Google Scholar 

  24. Hughes, J.L.A.: Multiple Fault Detection using Single Fault Test Sets; IEEE Transactions on CAD, Vol. 7, No.1, January (1988), pp. 100–108

    Google Scholar 

  25. Wadsack, R.L.: Fault Modeling and Logic Simulation of CMOS and MOS Integrated Circuits; Bell System Technical Journal, No.4, May (1978), pp. 1499–1474

    Google Scholar 

  26. Burgess, N., Damper, R.I., Totton, K.A., Shaw, D.J.: Physical Faults in MOS Circuits and Their Coverage by Different Fault Models; Proc. IEEE, Vol. 135, Pt.E, No.1 (January 1988), pp. 1–9

    Google Scholar 

  27. Maxwell, P.C., Aitken, R.C., Johansen, V., Chiang, I.: The Effect of Different Test Sets on Quality Level Prediction: When is 80% better than 90%?; Proc. ACM/IEEE International Test Conference, Nashville, Tennessee, October 1991, pp. 166–177

    Google Scholar 

  28. Hsieh, E.P. et al.: Delay Test Generation, Proc. 14th ACM/IEEE Design Automation Conference, June 1977, pp. 486–491

    Google Scholar 

  29. Smith, G.L.: Model for Delay Faults Based On Paths, Proc. International Test Conference, 1985, pp. 342–349

    Google Scholar 

  30. Abraham, J.A., Fuchs, W.K: Fault and Error Models for VLSI; Proc. IEEE, Vol. 74, No.5, (May 1986), pp. 639–654

    Google Scholar 

  31. Al-Arian, S.A., Agrawal, D.P.: Physical Failures and Fault Models of CMOS Circuits; IEEE Transactions on Circuits and Systems, Vol. 34, No.3 (1987), pp.269–279

    Google Scholar 

  32. Champac, V.H., Rodrigues-Montanes, R., Segura, J.A., Figueras, J., Rubio, J.A.: Fault Modeling of Gate Oxide Short, Floating Gate and Bridging Failures in CMOS Circuits; Proc. European Test Conference, Munich, April 1991, pp. 143–148

    Google Scholar 

  33. Hawkins, C.F., Soden, J.M., Righter, A.W., Ferguson, F.J.: Defect Classes-An Overdue Paradigm for CMOS IC Testing; Proc. ACM/IEEE International Test Conference, Washington, D.C., October 1994, pp. 413–425

    Google Scholar 

  34. Johnson, S.: Residual Charge on the Faulty Floating Gate MOS Transistor, Proc. IEEE International Test Conference, Washington, D.C., October 1994, pp. 555–571

    Google Scholar 

  35. Su, S.Y.H., Koren, I., Malaiya, Y.K.: Diagnosis of intermittent faults in combinational networks; IEEE Transactions on Computers, Vol. 37, No. 10, October 1988, pp. 1309–1314

    Google Scholar 

  36. Malaiya, Y.K, Su, S.Y.H.: A new fault model and testing technique for CMOS devices; Proc. International Test Conference, 1982, pp. 25–34

    Google Scholar 

  37. Soden, J.M., Hawkins, C.F.: Test consideration for gate oxide shorts in CMOS ICs; IEEE Design and Test, August 1986, pp. 56–64

    Google Scholar 

  38. Isern, E., Figueras, J.: Test generation with high coverages for quiescent current test of bridging fault in combinational circuits; Proc. IEEE International Test Conference, Washington, D.C., 1993, pp. 73–82

    Google Scholar 

  39. Maly, W., Nigh, P.: Built-In Current Testing-A Feasibility Study; Proc. IEEE International Conference on CAD, Santa Clara 1988, pp. 340–343

    Google Scholar 

  40. Rubio, A., Figueras, J., Segura, J.: Quiescent current sensor circuits in digital VLSI CMOS testing; Electronics Letters, Vol. 26, No. 15, (1990), pp. 1204–1206

    Google Scholar 

  41. Rius, R., Figueras, J.: Proportional BIC Sensor for Curent Testing; Journal of Electronic Testing: Theory and Applications, JETTA, Vol. 3, No.4, (December 1992), pp. 387–396

    Google Scholar 

  42. Keating, M., Meyer, D.: A new approach to dynamic IDD testing, Proc. International Test Conference, 1987, pp. 316–321

    Google Scholar 

  43. Maly, W., Patyra, M.: Design of ICs Applying Built-In Current Testing; Journal o. Electronic Testing: Theory a. Applications, JETTA, Vol. 3, No.4, (December 1992) pp. 397–406

    Google Scholar 

  44. Wunderlich, H.-J., Herzog, M., Figueras, J., Carrasco, J.A., Calderon, A.: Synthesis of IDDQ-Testable Circuits: Integrating Built-In Current Sensors; Proc. European Design & Test Conference, Paris, March 1995, pp. 573–580

    Google Scholar 

  45. Williams, T.W., et. al.: IDDQ Test: Sensitivity Analysis of Scaling; Proc. IEEE International Test Conference, Washington, D.C., 1996, pp. 786–792

    Google Scholar 

  46. Sachdev, M.: Deep Sub-micron Testing: Issues and Solutions; Proc. European Design & Test Conference (1997), pp. 271–278

    Google Scholar 

  47. Williams, T.W., Brown, N.C.: Defect Level as a Function of Fault Coverage; IEEE Transactions on Computers, Vol. 30, No. 12, (December 1981)

    Google Scholar 

  48. Roth, J.P.: Diagnosis of Automata Failures. A Calculus and a Method; IBM Journal of Research and Development, Vol. 9, No.2 (1966)

    Google Scholar 

  49. Fujiwara, H., Shimono, T.: On the Acceleration of Test Generation; IEEE Transactions on Computers, Vol. 32 (1983), pp. 1137–1144

    Google Scholar 

  50. Schulz, M., et. al.: SOCRATES: A Highly Efficient Automatic Test Pattern Generation System; IEEE Transactions on CAD, Vol. 7 (1988), pp. 30–35

    Google Scholar 

  51. Kunz, W., Pradhan, D.K.: Recursive Learning: An Attractive Alternative to the Decision Tree for Test Generation in Digital Circuits; Proc. International Test Conference, 1992, pp. 816–825

    Google Scholar 

  52. Niermann, T.M, Patel, J.H.: HITEC: A test generation package for sequential circuits; Proc. European Conference on Design Automation, February 1991

    Google Scholar 

  53. Waicukauski et. al.: ATPG for Ultra-Large Structured Designs; Proc. International Test Conference, Washington, D.C., 1990, pp. 44–51

    Google Scholar 

  54. Bershteyn, M.: Calculation of Multiple Sets of Weights for Weighted Random Testing; Proc. IEEE International Test Conference, Washington, D.C., 1993, pp. 1031–1040

    Google Scholar 

  55. Krieger, R., Becker, B., Sinkovic, R.: A BDD-based Algorithm for Computation of Exact Fault Detection Probabilities; Proc. 23rd International Symposium on Fault-Tolerant Computing (1993), pp. 186–195

    Google Scholar 

  56. Lisanke, R., Brglez, F., Degeus, A.J., Gregory, D.: Testability Driven Random Test-Pattern Generation; IEEE Transactions on CAD, Vol. 6, No.6, (November 1987), pp. 660–669

    Google Scholar 

  57. Waicukauski, J.A., Lindbloom, E., Eichelberger, E.B., Forlenza, O.P.: A Method for Generating Weighted Random Test Patterns; IBM Journal of Research and Development, Vol. 33, No.2, (March 1989), pp. 149–161

    Google Scholar 

  58. Wunderlich, H.-J.: PROTEST: A Tool for Probabilistic Testability Analysis; Proc. 22nd ACM/IEEE Design Automation Conference, Las Vegas, 1985, pp. 204–211

    Google Scholar 

  59. Kapur, R., Patil, S., Snethen, T.J., Williams, T.W.: Design of an Efficient Weighted Radnom Pattern Generation System; Proc. IEEE International Test Conference, 1994, pp. 491–500

    Google Scholar 

  60. Muradali, F., Agarwal, V.K., Nadeau-Dostie, B.: A New Procedure for Weighted Random Built-In Self-Test; Proc. IEEE International Test Conference, 1990, pp. 660–669

    Google Scholar 

  61. Patera, S., Rajski, J.: Cube-Contained Random Patterns and their Application to the Complete Testing of Synthesized Multi-level Circuits; Proc. IEEE International Test Conference, 1991, pp. 473–482

    Google Scholar 

  62. Pomeranz, I., Reddy, S.M.: 3-Weight Pseudo-Random Test Generation Based on a Deterministic Test Set for Combinational and Sequential Circuits; IEEE Transactions on CAD, Vol. 12, No.7 (1993) pp. 1050–1058

    Google Scholar 

  63. Reeb, B., Wunderlich, H.-J.: Deterministic Pattern Generation for Weighted Random Pattern Testing; Proc. European Design & Test Conference, Paris, March 1996, pp. 30–36

    Google Scholar 

  64. Waicukauski, J., Lindbloom, E.: Fault Detection Effectiveness of Weighted Random Patterns; Proc. International Test Conference, Washington, D.C., 1988, pp. 245–256

    Google Scholar 

  65. Waicukauski, J.A., et. al.: Fault Simulation for Structured VLSI; VLSI Systems Design, December 1985, pp. 20–32

    Google Scholar 

  66. Antreich, K.J., Schulz, M.H.: Accelerated Fault Simulation and Fault Grading in Combinational Circuits; IEEE Transactions on CAD, Vol. 6 (1987), pp. 704–712.

    Google Scholar 

  67. Williams, M.J.Y., Angell, J.B.: Enhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic; IEEE Transactions on Computers, Vol. 22, No.1 (1973)

    Google Scholar 

  68. Eichelberger, E.B., Williams, T.W.: A logic design structure for LSI testability; Proc. 14th Design Automation Conference, June 1977, pp. 462–468

    Google Scholar 

  69. Cheng, K.-T., Agrawal, V.D.: A Partial Scan Method for Sequential Circuits with Feedback; IEEE Transactions on Computers, Vol. 39, No.4, (April 1990), pp. 544–547

    Google Scholar 

  70. Chakradhar, S.T., Balakrishnan, A., Agrawal, V.D.: An Exact Algorithm for Determining Partial Scan Flip-Flops; Proc. Design Automation Conference, San Diego, 1994

    Google Scholar 

  71. Gupta, R., Gupta, R., Breuer, M.A.: The BALLAST Methodology for Structured Partial Scan Design; IEEE Transactions on Computers, April (1990), pp. 538–544

    Google Scholar 

  72. Kunzmann, A., Wunderlich, H.-J.: An analytical approach to the partial scan problem; Journal of Electronic Testing: Theory and Applications (1990), pp. 163–174

    Google Scholar 

  73. Hayes, J.P., Friedmann, A.D.: Test Point Placement to Simplify Fault Detection; IEEE Transactions on Computers, Vol. 33, (July 1974), pp. 727–735

    Google Scholar 

  74. Seiss, B.H., Troubourst, P.M., Schulz, M.H.: Test Point Insertion for Scan Based BIST; Proc. European Test Conference, 1991, pp. 253–262

    Google Scholar 

  75. Wunderlich, H.-J., Hellebrand, S.: The Pseudo-Exhaustive Test of Sequential Circuits; IEEE Transactions on CAD of Integrated Circuits and Systems, Vol. 11, No.1 (January 1992), pp. 26–33

    Google Scholar 

  76. Eichelberger, E.B., Lindbloom, E.: Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test; IBM Journal of Research and Development, Vol. 27, No.3, (May 1983)

    Google Scholar 

  77. Bardell, P.H.; McAnney, W.H.: Self-testing of multichip logic modules; Proc. IEEE International Test Conference, 1982, pp. 200–204

    Google Scholar 

  78. Koenemann, B., et. al.: Built-In Logic Block Observation Techniques; Proc. Test Conference, Cherry Hill, New Jersey, 1979

    Google Scholar 

  79. Craig, G.L., Kime, C.R, Saluja, K.K.: Test Scheduling and Control for VLSI Built-In Self-Test; IEEE Transactions on Computers, September (1988), pp. 1099–1109

    Google Scholar 

  80. Stroele, A.P., Wunderlich, H.-J.: Signature Analysis and Test Scheduling for Self-Testable Circuits; Proc. International Symposium on Fault-Tolerant Computing, Montreal, 1991, pp. 96–103

    Google Scholar 

  81. Girard, P., Landrault, C., Moréda, V., Pravossoudovitch, S.: An Optimized BIST Test Pattern Generator for Delay Testing; Proc. of 15th VLSI Test Symposium, April 1997, pp. 94–99

    Google Scholar 

  82. Peterson, W.W., Weldon, E.J., Jr.: Error-Correcting Codes; MIT-Press, Cambridge, Massachusetts, London, 1972

    MATH  Google Scholar 

  83. Lidl, R., Niederreiter, H.: Introduction to finite fields and their applications; Cambridge: Cambridge University Press (1986)

    MATH  Google Scholar 

  84. Golomb, S.W.: Shift Register Sequences; Aegan Park Press, Laguna Hills, 1982

    Google Scholar 

  85. Chen, C.L.: Linear Dependencies in Linear Feedback Shift Registers; IEEE Transactions on Computers, Vol. 35, No. 12 (1986), pp. 1086–1088

    Google Scholar 

  86. Serra, M., Slater, T., Muzio, J.C., Miller, D.M.: The Analysis of One Dimensional Linear Cellular Automata and Their Aliasing Properties; IEEE Transactions on CAD, Vol. 9, No.7, (July 1990), pp. 767–778

    Google Scholar 

  87. Williams, T.W., Daehn, W., Gruetzner, W., Starke, C.W.: Comparison of Aliasing Errors for Primitive and Non-Primitive Polynomials; Proc. IEEE International Test Conference, Philadelphia, September (1986), pp. 282–288

    Google Scholar 

  88. Damiani, et. al.: Aliasing in Signature Analysis Testing with Multiple-Input Shift-Registers; Proc. 1st European Test Conference, Paris, 1989, pp. 346–353

    Google Scholar 

  89. Savir, J.: Syndrome-Testable Design of Combinational Circuits; IEEE Transactions on Computers, Vol. 26, No.6 (1980)

    Google Scholar 

  90. Krasniewski, A., Pilarski, S.: Circular Self-Test Path: A Low-Cost BIST Technique for LVSI Circuits; IEEE Transactions on CAD, Vol. 8, No.1, (January 1989), pp. 46–55

    Google Scholar 

  91. Wang, L.T., McCluskey, E.J.: Concurrent Built-in Logic Block Observer (CBILBO); Proc. International Symposium on Circuits and Systems, 1986, pp. 1054–1057

    Google Scholar 

  92. Stroele, A., Wunderlich, H.-J.: Configuring Flip-Flops to BIST registers; Proc. IEEE International Test Conference, Washington, D.C., 1994, pp. 939–948

    Google Scholar 

  93. Savir, J., McAnney, W.H.: A Multiple Seed Linear Feedback Shift Register; IEEE Transactions on Computer, February (1992), pp. 250–252

    Google Scholar 

  94. Lempel, M., Gupta, S.K, Breuer, M.A.: Test Embedding with Discrete Logarithms; IEEE Transactions on CAD of Integrated Circuits and Systems, Vol. 14, No.5, (May 1995), pp. 554–566

    Google Scholar 

  95. Mukund, S.K., McCluskey, E.J., Roo, T.R.N.: An Apparatus for Pseudo-Deterministic Testing; Proc. 13th VLSI Test Symposium, Princeton, NJ, 1995, pp. 125–131

    Google Scholar 

  96. Hellebrand, S., Wunderlich H.-J., Hertwig, A.: Mixed-Mode BIST Using Embedded Processors; Proc. IEEE International Test Conference, Washington, D.C., 1996, pp. 195–204

    Google Scholar 

  97. Akers, S.B., Jansz, W.: Test Set Embedding in Built-in Self-Test Environment; Proc. IEEE International Test Conference, Washington, D.C., 1989, pp. 257–263

    Google Scholar 

  98. Chatterjee, M., Pradhan, D.K.: A Novel Pattern Generator for Near-Perfect Fault-Coverage; Proc. 13th VLSI Test Symposium, Princeton, NJ, 1995, pp. 417–425

    Google Scholar 

  99. Touba, N.A., McCluskey, E.J.: Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST; Proc. IEEE International Test Conference, Washington, D.C., 1995, pp. 674–682

    Google Scholar 

  100. Koenemann, B.: LFSR-Coded Test Patterns for Scan Designs; Proc. European Test Conference, Munich, 1991, pp. 237–242

    Google Scholar 

  101. Wunderlich, H.-J., Kiefer, G.: Bit-Flipping BIST; Proc. IEEE/ACM International Conference on CAD, San Jose, CA, November 1996, pp. 337–343

    Google Scholar 

  102. Hellebrand, S., Tarnick, S., Rajski, J., Courtois, B.: Generation of Vector Patterns Through Reseeding of Multiple-Polynomial Linear Feedback Shift Registers; Proc. IEEE International Test Conference, Baltimore, MD, September 1992, pp. 120–129

    Google Scholar 

  103. Hellebrand, S., Rajski, J., Tarnick, S., Venkataraman, S., Courtois, B.: Built-in Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers; IEEE Transactions on Computers, Vol. 44, No.2, (February 1995), pp. 223–233

    Google Scholar 

  104. Hellebrand, S., Reeb, B., Tarnick, S., Wunderlich, H.-J.; Pattern Generation for a Deterministic BIST Scheme: Proc. IEEE/ACM International Conference on CAD, San Jose, CA, November 1995, pp. 88–94

    Google Scholar 

  105. Rajski, J., Tyszer, J.: Test Responses Compaction in Accumulators with Rotate Carry Adders; IEEE Transactions on CAD of Integrated Circuits and Systems, Vol. 12, No.4, (April 1993), pp. 531–539

    Google Scholar 

  106. Gupta, S., Rajski, J., Tyszer, J.: Arithmetic Adaptive Generators of PseudoExhaustive Test Patterns; IEEE Transactions on Computers, Vol. 8, No. 45, (August 1996), pp. 939–949

    Google Scholar 

  107. Stroele, A.P.: Arithmetic Pattern Generators for Built-In Self-Test; Proc. International Conference on Computer-Aided Design, 1996, pp. 131–134

    Google Scholar 

  108. Stroele, A.P.: BIST Pattern Generators using Addition and Subtraction Operations; Journal of Electronic Testing: Theory and Applications, JETTA, Vol. 11, No.1. (August 1997), pp. 68–80

    Google Scholar 

  109. Rajski, J., Tyszer, J.: Multiplicative Window Generators of Pseudo-Random Test Vectors; Proc. European Design and Test Conference, 1996, pp. 186–194

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2000 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Wunderlich, HJ. (2000). Test and Testable Design. In: Börger, E. (eds) Architecture Design and Validation Methods. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-57199-2_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-57199-2_4

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-62976-1

  • Online ISBN: 978-3-642-57199-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics