Skip to main content

An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator

  • Conference paper
Advanced Parallel Processing Technologies (APPT 2013)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 8299))

Included in the following conference series:

  • 1343 Accesses

Abstract

Fast multicore simulators are extremely useful in evaluating design alternatives and enabling early software development. Among the state-of-the-art multicore simulators, Simics is a very popular used one both in academia and industry. It has a powerful debugging system, and also provides an accelerator to support multithreaded or distributed simulation. However, this kind of parallel mechanism mainly aims at speed up distributed systems. It is not suitable for the shared-memory multicore systems which are much more commonly used. In this paper, we propose a novel parallel mechanism to improve the simulation speed of shared-memory multicore systems. More importantly, our approach is compatible with other optimizations and exist debugging systems used in Simics. Experimental results show that our parallel approach achieves an average speedup of 9.6× (up to 12.2×) when running SPLASH-2 kernel on a 16-core host machine.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Magnusson, P.S., Christensson, M., Eskilson, J., Forsgren, D., Hallberg, G., Hogberg, J., Larsson, F., Moestedt, A., Werner, B.: Simics: A full system simulation platform. Computer 35, 50–58 (2002)

    Article  Google Scholar 

  2. Wang, Z., Liu, R., Chen, Y., Wu, X., Chen, H., Zhang, W., Zang, B.: Coremu: A scalable and portable parallel full-system emulator. In: PPoPP 2011, pp. 213–222 (2011)

    Google Scholar 

  3. Mukherjee, S.S., Reinhardt, S., Falsafi, B., Litzkow, M., Huss-Lederman, S., Hill, M.D., Larus, J.R., Wood, D.A.: Wisconsin Wind Tunnel II: A Fast, Portable Parallel Architecture Simulator. IEEE Concurrency 8(4), 12–20 (2000)

    Article  Google Scholar 

  4. Miller, J., Kasture, H., Kurian, G., Gruenwald III, C., Beckmann, N., Celio, C., Eastep, J., Agarwal, A.: Graphite: A Distributed Parallel Simulator for Multicores. In: Proc. HPCA (2010)

    Google Scholar 

  5. Bedicheck, R.: SimNow: Fast platform simulation purely in software. In: Hot Chips 16 (August 2004)

    Google Scholar 

  6. Reinhardt, S.K., Hill, M.D., Larus, J.R., Lebeck, A.R., Lewis, J.C., Wood, D.A.: The wisconsin wind tunnel: virtual prototyping of parallel computers. In: SIGMETRICS 1993: Proc. of the 1993 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pp. 48–60 (1993)

    Google Scholar 

  7. Over, A., Clarke, B., Strazdins, P.E.: A comparison of two approaches to parallel simulation of multiprocessors. In: Proc. ISPASS, pp. 12–22 (2007)

    Google Scholar 

  8. Argollo, E., Falcón, A., Faraboschi, P., Monchiero, M., Ortega, D.: Cotson: infrastructure for full system simulation. SIGOPS Oper. Syst. Rev. 43(1), 52–61 (2009)

    Article  Google Scholar 

  9. Penry, D.A., Fay, D., Hodgdon, D., Wells, R., Schelle, G., August, D.I., Connors, D.: Exploiting parallelism and structure to accelerate the simulation of chip multi-processors. In: HPCA 2006: The Twelfth International Symposium on High-Performance Computer Architecture, pp. 29–40 (February 2006)

    Google Scholar 

  10. Chen, J., Annavaram, M., Dubois, M.: SlackSim: A Platform for Parallel Simulations of CMPs on CMPs. SIGARCH Comput. Archit. News 37(2), 20–29 (2009)

    Article  Google Scholar 

  11. Mauer, C.J., Hill, M.D., Wood, D.A.: Full-system timing-first simulation. SIGMETRICS Perform. Eval. Rev. 30, 108–116 (2002)

    Article  Google Scholar 

  12. Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., Hestness, J., Hower, D.R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M.D., Wood, D.A.: The gem5 simulator. Computer Architecture News (2011)

    Google Scholar 

  13. Fang, Z., Min, Q., Zhou, K., Lu, Y., Hu, Y., Zhang, W., Chen, H., Li, J., Zang, B.: Transformer: A Functional-Driven Cycle-Accurate Multicore Simulator. In: DAC 2012, pp. 106–111 (2012)

    Google Scholar 

  14. Wu, M., Wang, P., Fu, C., Tsay, R.: A High-Parallelism Distributed Scheduling Mechanism for Multi-Core Instruction-Set Simulation. In: DAC 2011, pp. 339–344 (2011)

    Google Scholar 

  15. Fujimoto, R.M.: Parallel discrete event simulation. Commun. ACM 33(10), 30–53 (1990)

    Article  Google Scholar 

  16. Binkert, N.L., Dreslinski, R.G., Hsu, L.R., Lim, K.T., Saidi, A.G., Reinhardt, S.K.: The M5 simulator: Modeling networked systems. IEEE Micro 26, 52–60 (2006)

    Article  Google Scholar 

  17. Engblom, J.: Simics Accelerator. VIRTUTECH White Paper (2009)

    Google Scholar 

  18. Modeling your system in Simics, version 4.2. Virtutech Inc. (2010)

    Google Scholar 

  19. Lis, M., Ren, P., Cho, M., Shim, K., Fletcher, C., Khan, O., Devadas, S.: Scalable, accurate multicore simulation in the 1000-core era. In: IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) (April 2011)

    Google Scholar 

  20. Wang, K., Zhang, Y., Wang, H., Shen, X.: Parallelization of IBM mambo system simulator in functional modes. SIGOPS Oper. Syst. Rev. 42(1), 71–76 (2008)

    Article  MathSciNet  Google Scholar 

  21. Luk, C.-K., Cohn, R., Muth, R., Patil, H., Klauser, A., Lowney, G., Wallace, S., Reddi, V.J., Hazelwood, K.: Pin: Building customized program analysis tools with dynamic instrumentation. In: PLDI 2005, pp. 190–200 (June 2005)

    Google Scholar 

  22. Virtutech. Simics Processor API. Version 4.2

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ye, X., Fan, D., Wang, D., Song, F., Zhang, H., Tang, Z. (2013). An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator. In: Wu, C., Cohen, A. (eds) Advanced Parallel Processing Technologies. APPT 2013. Lecture Notes in Computer Science, vol 8299. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-45293-2_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-45293-2_18

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-45292-5

  • Online ISBN: 978-3-642-45293-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics