Skip to main content

Configurable Fault-Tolerance for a Configurable VLIW Processor

  • Conference paper
Reconfigurable Computing: Architectures, Tools and Applications (ARC 2013)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7806))

Included in the following conference series:

Abstract

This paper presents the design and implementation of configurable fault-tolerance techniques for a configurable VLIW processor. The processor can be configured for 2, 4, or 8 issue-slots with different types of execution functional units (FUs), and its instruction set architecture (ISA) is based on the VEX ISA. Separate techniques are employed to protect different modules of the processor from single event upsets (SEU) errors. Parity checking is utilized to detect errors in the instruction and data memories and the general register file (GR), while triple modular redundancy (TMR) approach is employed for all the synchronous flip-flops (FFs). At design-time, a user can choose between the standard non fault-tolerant design, a fault-tolerant design where the fault tolerance is permanently enabled, and a fault-tolerant design where the fault tolerance can be enabled and disabled at run-time. These options enable a user to trade-off between hardware resources, performance, and power consumption. A simulation based technique is utilized for testing purposes. The processor is implemented in a Xilinx Virtex-6 FPGA as well as synthesized to a 90 nm ASIC technology. Compared to the permanently enabled fault-tolerance, in scenarios, where fault-tolerance is not required at some point in time, considerable power savings (up to 25.93% for the FPGA and 70.22% for the ASIC) can be achieved by disabling the fault-tolerance at run-time.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. H.P. Labs. VEX Toolchain, http://www.hpl.hp.com/downloads/vex/

  2. Blough, D., Nicolaun, A.: Fault Tolerance in Super-scalar and VLIW Processors. In: IEEE Workshop on Fault Tolerant Parallel and Distributed Systems, pp. 193–200 (1992)

    Google Scholar 

  3. Bolchini, C.: A Software Methodology for Detecting Hardware Faults in VLIW Data Paths. IEEE Transactions on Reliability 52(4), 458–468 (2003)

    Article  Google Scholar 

  4. Chen, Y., Leo, K.: Reliable Data Path Design of VLIW Processor Cores with Comprehensive Error-coverage Assessment. Elsevier Journal of Microprocessors and Microsystems 34, 49–61 (2010)

    Article  Google Scholar 

  5. Faraboschi, P., Brown, G., Fisher, J., Desoli, G., Homewood, F.: Lx: A Technology Platform for Customizable VLIW Embedded Processing. In: International Symposium on Computer Architecture, pp. 203–213 (2000)

    Google Scholar 

  6. Fisher, J., Faraboschi, P., Young, C.: Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools. Morgan Kaufmann (2005)

    Google Scholar 

  7. Franklin, M.: A Study of Time Redundant Fault Tolerance Techniques for Superscalar Processors. In: International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 207–215 (1995)

    Google Scholar 

  8. Gaisler, J.: A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture. In: International Conference on Dependable Systems and Networks, pp. 409–415 (2002)

    Google Scholar 

  9. Holm, J., Banerjee, P.: Low Cost Concurrent Error Detection in a VLIW Architecture using Replicated Instructions. In: International Conference on Parallel Processing, pp. 192–195 (1992)

    Google Scholar 

  10. Hu, J., Li, F., Degalahal, V., Kandemir, M., Vijaykrishnan, N., Irwin, M.: Compiler-Directed Instruction Duplication for Soft Error Detection. In: Design, Automation and Test in Europe Conference and Exhibition, pp. 1056–1057 (2005)

    Google Scholar 

  11. Ichinomiya, Y., Tanoue, S., Ishida, T., Amagasaki, M., Kuga, M., Sueyoshi, T.: Memory Sharing Approach for TMR Softcore Processor. In: Becker, J., Woods, R., Athanas, P., Morgan, F. (eds.) ARC 2009. LNCS, vol. 5453, pp. 268–274. Springer, Heidelberg (2009)

    Chapter  Google Scholar 

  12. Liden, P., Dahlgren, P., Johansson, R., Karlsson, J.: On Latching Probability of Particles Induced Transients in Combinational Networks. In: International Symposium on Fault-Tolerant Computing, pp. 340–349 (1994)

    Google Scholar 

  13. Nickle, J., Soman, A.: REESE: A Method of Soft Error Detection in Microprocessors. In: International Conference on Dependable Systems and Networks, pp. 401–410 (2001)

    Google Scholar 

  14. Oh, N., Shirvani, P., McCluskey, E.: Error Detection by Duplicated Instructions in Super-scalar Processors. IEEE Transactions on Reliability 51(1), 63–75 (2002)

    Article  Google Scholar 

  15. Rashid, F., Saluja, K., Ramanathan, P.: Fault Tolerance Through Re-execution in Multiscalar Architecture. In: International Conference on Dependable Systems and Networks, pp. 482–491 (2000)

    Google Scholar 

  16. Sato, T., Arita, I.: Evaluating Low-cost Fault-tolerance Mechanism for Microprocessors on Multimedia Applications. In: Pacific Rim International Symposium On Dependable Computing, pp. 225–232 (2001)

    Google Scholar 

  17. Scholzel, M., Mulleri, S.: Combining Hardware- and Software-Based Self-Repair Methods for Statistically Scheduled Data Paths. In: International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 90–98 (2010)

    Google Scholar 

  18. Sterpone, L., Sabena, D., Campagna, S., Reorda, M.: Fault Injection Analysis of Transient Faults in Clustered VLIW Processors. In: IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, pp. 207–212 (2011)

    Google Scholar 

  19. Touloupis, E., Flint, J., Chouliaras, V., Ward, D.: Study of the Effects of SEU-Induced Faults on a Pipeline-Protected Microprocessor. IEEE Transactions on Computers 56(12), 1585–1596 (2007)

    Article  MathSciNet  Google Scholar 

  20. Vasudevan, V., Waldeck, P., Mehta, H., Bergmann, N.: Implementation of Triple Modular Redundant FPGA based Safety Critical System for Reliable Software Execution. In: Australian Workshop on Safety Related Programmable Systems, pp. 113–119 (2006)

    Google Scholar 

  21. Wong, S., Anjam, F.: The Delft Reconfigurable VLIW Processor. In: International Conference on Advanced Computing and Communications, pp. 242–251 (2009)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Anjam, F., Wong, S. (2013). Configurable Fault-Tolerance for a Configurable VLIW Processor. In: Brisk, P., de Figueiredo Coutinho, J.G., Diniz, P.C. (eds) Reconfigurable Computing: Architectures, Tools and Applications. ARC 2013. Lecture Notes in Computer Science, vol 7806. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36812-7_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36812-7_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36811-0

  • Online ISBN: 978-3-642-36812-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics