Skip to main content

Channel Mobility

  • Chapter
  • First Online:
  • 2621 Accesses

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 43))

Abstract

Effective channel mobility, μeff, of high-k gate dielectrics in various device technologies is discussed in detail. Initially, the background on mobility is provided with brief explanations on different scattering mechanisms. Next, the fast transient charge trapping effect, seen in hafnium-based dielectric films, is explained in conjunction with the pulse I–V technique, which is used to quantify the fast trapping. This fast trapping is shown to degrade device performance and thereby degrade the μeff. Then, how the components of high-k gate dielectric stack structure (i.e., interfacial layer quality and composition, high-k layer thickness and Hf content) affects the fast trapping is discussed. It is shown that increases in high-k layer thickness or Hf content lead to mobility degradation due to increased levels of fast trapping. However, an increase in the thickness of the interfacial layer, that screens the high-k dielectric from the device channel, can dramatically improve the mobility. Unfortunately, increasing the equivalent oxide thickness may not be ideal for some logic technologies. In order to understand the factors, there is a need to extract the mobility in the presence of fast trapping. Several methodologies of μeff extraction that remove the fast transient contribution to the μeff are discussed. Finally, the chapter discusses future technology possibilities: substrate orientation dependent mobility enhancement, process induced strain, germanium-based channels, and compound semiconductor substrates. All approaches demonstrate mobility enhancement over standard bulk silicon substrates, but they can also introduce other aspects of mobility degradation.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. J.R. Hauser, Extraction of experimental mobility data for MOS devices. IEEE Trans. Electron Devices 43, 1981–1988 (1996)

    Article  Google Scholar 

  2. S. Takagi, A. Toriumi, M. Iwase, H. Tango, On the Universality of inversion layer mobility in Si MOSFETs: Part I: Effects of substrate impurity concentration. IEEE Trans. Electron Devices 41, 2357–2362 (1994)

    Article  Google Scholar 

  3. J.P. McKelvey, Solid State Physics for Engineering and Materials Science (Krieger Publishing Company, NY, 1993)

    Google Scholar 

  4. A. Tsividis, Operation and Modeling of the MOS Transistors, 2nd edn. (McGraw-Hill, NY, 1999)

    Google Scholar 

  5. A. Kerber, E. Cartier, L. Pantisano, M. Rosmeulen, R. Degraeve, T. Kauerauf, G. Groeseneken, H.E. Maes, U. Schwalke, Characterization of the VT instability in SiO2/HfO2 gate dielectrics. in 41st Annual IEEE International Reliability Physics Symposium Proceedings, pp. 41–45, 2003

    Google Scholar 

  6. C.D. Young, R. Choi, J.H. Sim, B.H. Lee, P. Zeitzoff, Y. Zhao, K. Matthews, G. A. Brown, G. Bersuker, Interfacial Layer Dependence of HfSixOy Gate Stacks on Vt Instability and Charge Trapping Using Ultra-short Pulse I–V Characterization. in 43rd Annual IEEE International Reliability Physics Symposium Proceedings, pp. 75–79, 2005

    Google Scholar 

  7. C.D. Young, A. Kerber, T.H. Hou, E. Cartier, G.A. Brown, G. Bersuker, Y. Kim, J. Gutt, P. Lysaght, J. Bennett, C.H. Lee, S. Gopalan, M. Gardner, P.M. Zeitzoff, G. Groeseneken, R.W. Murto, H.R. Huff, Charge Trapping and Mobility Degradation in MOCVD Hafnium Silicate Gate Dielectric Stack Structures. in 203rd Fall Meeting of the Electrochemical Society, Physics and Technology of High-K Gate DielectricsII, Orlando, FL, pp. 347–362, 2003

    Google Scholar 

  8. C.D. Young, G. Bersuker, G.A. Brown, P. Lysaght, P. Zeitzoff, R.W. Murto, H.R. Huff, Charge trapping and device performance degradation in MOCVD hafnium-based gate dielectric stack structures. in 42nd Annual IEEE International Reliability Physics Symposium. Proceedings pp. 597–598, 2004

    Google Scholar 

  9. A. Kerber, E. Cartier, L.A. Ragnarsson, M. Rosmeulen, L. Pantisano, R. Degraeve, Y. Kim, G. Groeseneken, Direct measurement of the inversion charge in MOSFETs: application to mobility extraction in alternative gate dielectrics. in VLSI Symposium Technical Digest, pp. 159–160, 2003

    Google Scholar 

  10. C.D. Young, Y. Zhao, M. Pendley, B.H. Lee, K. Matthews, J.H. Sim, R. Choi, G.A. Brown, R.W. Murto, G. Bersuker, Ultra-short pulse current–voltage characterization of the intrinsic charactistics of high-k devices. Jpn. J. Appl. Phys. 44, 2437–2440 (2005)

    Article  Google Scholar 

  11. C. Leroux, J. Mitard, G. Ghibaudo, X. Garros, G. Reimbold, B. Guillaumot, F. Martin, Characterization and modeling of hysteresis phenomena in high K dielectrics. in IEEE International Electron Devices Meeting Technical Digest, pp. 737–740, 2004

    Google Scholar 

  12. B.H. Lee, C.D. Young, R. Choi, J.H. Sim, G. Bersuker, C.Y. Kang, R. Harris, G.A. Brown, K. Matthews, S.C. Song, N. Moumen, J. Barnett, P. Lysaght, K.S. Choi, H.C. Wen, C. Huffman, H. Alshareef, P. Majhi, S. Gopalan, J.J. Peterson, P. Kirsh, H.-J. Li, J. Gutt, M. Gardner, H.R. Huff, P. Zeitzoff, R.W. Murto, L. Larson, C. Ramiller, Intrinsic characteristics of high-k devices and implications of fast transient charging effects (FTCE). in IEEE International Electron Devices Meeting Technical Digest, pp. 859–862, 2004

    Google Scholar 

  13. L. Pantisano, E. Cartier, A. Kerber, R. Degraeve, M. Lorenzini, M. Rosmeulen, G. Groeseneken, H.E. Maes, Dynamics of threshold voltage instability in stacked high-k dielectrics: role of the interfacial oxide. in VLSI Symposium Technical Digest, pp. 163–164, 2003

    Google Scholar 

  14. G. Bersuker, P. Zeitzoff, J. H. Sim, B.H. Lee, R. Choi, G.A. Brown, C.D. Young, Mobility Evaluation in High-k Devices. in IEEE International Integrated Reliability Workshop Final Report, pp. 141–144, 2004

    Google Scholar 

  15. W. Zhu, J.-P. Han, T.P. Ma, Mobility measurements and degradation mechanisms of MOSFETs made with ultrathin high-k dielectrics. IEEE Trans. Electron Devices 51, 98–105 (2004)

    Article  Google Scholar 

  16. G. Bersuker, P. Zeitzoff, J. Barnett, N. Moumen, B. Foran, C.D. Young, J.J. Peterson, P. Lysaght, Interface-induced mobility degradation in high-k transistors. Jpn. J. Appl. Phys. 43, 7899–7902 (2004)

    Article  Google Scholar 

  17. M.V. Fischetti, D.A. Neumayer, E.A. Cartier, Effective electron mobility in Si inversion layers in metal-oxide semiconductor systems with a high-k insulator: The role of remote phonon scattering. J. Appl. Phys. 90, 4587–4608 (2001)

    Article  Google Scholar 

  18. W.J. Zhu, T.P. Ma, Temperature dependence of channel mobility in HfO2-gated NMOSFETs. IEEE Electron Device Lett. 25, 89–91 (2004)

    Article  Google Scholar 

  19. G. Bersuker, J.H. Sim, C.D. Young, R. Choi, B.H. Lee, P. Lysaght, G. A. Brown, P. Zeitzoff, M. Gardner, R. W. Murto, H.R. Huff, Effects of structural properties of Hf-based gate stack on transistor performance. in 2004 Spring Meeting of the Material Research Society, pp. 31–35, 2004

    Google Scholar 

  20. T. Yamaguchi, R. Iijima, T. Ino, A. Nishiyama, H. Satake, N. Fukushima, Additional scattering effects for mobility degradation in Hf-silicate gate MISFETs. in IEEE International Electron Devices Meeting Technical Digest, pp. 621–624, 2002

    Google Scholar 

  21. R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, M. Metz, High-κ/metal-gate stack and its MOSFET characteristics. IEEE Electron Device Lett. 25, 408–410 (2004)

    Article  Google Scholar 

  22. D.V. Singh, P. Solomon, E.P. Gusev, G. Singco, Z. Ren, Ultra-fast measurements of the inversion charge in MOSFETs and impact on measured mobility in high-k MOSFETs. in IEEE International Electron Devices Meeting Technical Digest, San Francisco, CA, pp. 863–866, 2004

    Google Scholar 

  23. G. Bersuker, J. Sim, C.S. Park, C.D. Young, S. Nadkarni, C. Rino, L. Byoung Hun, Mechanism of electron trapping and characteristics of traps in HfO2 gate stacks. IEEE Trans. Device Mater. Reliab. 7, 138–145 (2007)

    Article  Google Scholar 

  24. D. Heh, C.D. Young, G. Bersuker, Experimental evidence of the fast and slow charge trapping/detrapping processes in high-k dielectrics subjected to PBTI stress. IEEE Electron Device Lett. 29, 180–182 (2008)

    Article  Google Scholar 

  25. C.D. Young, Y. Zhao, D. Heh, R. Choi, B.H. Lee, G. Bersuker, Pulsed Id–Vg methodology and its application to electron-trapping characterization and defect density profiling. IEEE Trans. Electron Devices 56, 1322–1329 (2009)

    Article  Google Scholar 

  26. P. Broqvist, A. Pasquarello, Oxygen vacancy in monoclinic HfO2: A consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments. Appl. Phys. Lett. 89, 262904 (2006)

    Article  Google Scholar 

  27. J.L. Gavartin, D.M. Ramo, A.L. Shluger, G. Bersuker, B.H. Lee, Negative oxygen vacancies in HfO2 as charge traps in high-k stacks. Appl. Phys. Lett. 89, 082908 (2006)

    Article  Google Scholar 

  28. D.M. Ramo, J.L. Gavartin, A.L. Shluger, G. Bersuker, Intrinsic and defect-assisted trapping of electrons and holes in HfO2: An ab initio study. Microelectron. Eng. 84, 2362–2365 (2007)

    Article  Google Scholar 

  29. D.M. Ramo, J.L. Gavartin, A.L. Shluger, G. Bersuker, Spectroscopic properties of oxygen vacancies in monoclinic HfO2 calculated with periodic and embedded cluster density functional theory. Physical Review B (Condensed Matter and Materials Physics), vol. 75, p. 205336, 2007

    Google Scholar 

  30. D.M. Ramo, A.L. Shluger, J.L. Gavartin, G. Bersuker, Theoretical prediction of intrinsic self-trapping of electrons and holes in monoclinic HfO2. Phys. Rev. Lett. 99, 155504 (2007)

    Article  Google Scholar 

  31. J. Robertson, K. Xiong, S.J. Clark, Band gaps and defect levels in functional oxides. Thin Solid Films 496, 1–7 (2006)

    Article  Google Scholar 

  32. R. Degraeve, A. Kerber, P. Roussell, E. Cartier, T. Kauerauf, L. Pantisano, G. Groeseneken, Effect of bulk trap density on HfO2 reliability and yield. in IEEE International Electron Devices Meeting Techical Digest, pp. 935–938, 2003

    Google Scholar 

  33. C. Leroux, J. Mitard, G. Ghibaudo, X. Garros, G. Reimbold, B. Guillaumor, F. Martin, Characterization and modeling of hysteresis phenomena in high K dielectrics. in IEEE International Electron Devices Meeting Techical Digest, pp. 737–740, 2004

    Google Scholar 

  34. C. Shen, M.F. Li, X.P. Wang, Y. Yee-Chia, D.L. Kwong, A fast measurement technique of MOSFET Id–Vg characteristics. IEEE Electron Device Lett. 27, 55–57 (2006)

    Article  Google Scholar 

  35. C.D. Young, Y.G. Zhao, M. Pendley, B.H. Lee, K. Matthews, J.H. Sim, R. Choi, G.A. Brown, R.W. Murto, G. Bersuker, Ultra-short pulse current–voltage characterization of the intrinsic characteristics of high-kappa devices. Jap. J Appl. Phys. Part 1-Regular Pap. Short Notes Rev. Pap. 44, 2437–2440 (2005)

    Article  Google Scholar 

  36. C.D. Young, P. Zeitzoff, G.A. Brown, G. Bersuker, L. Byoung Hun, J.R. Hauser, Intrinsic mobility evaluation of high-κ gate dielectric transistors using pulsed Id–Vg. IEEE Electron Device Lett. 26, 586–589 (2005)

    Article  Google Scholar 

  37. G. Bersuker, P. Zeitzoff, J.H. Sim, B.H. Lee, R. Choi, G. Brown, C.D. Young, Mobility evaluation in transistors with charge-trapping gate dielectrics. Appl. Phys. Lett. 87, 042905 (2005)

    Article  Google Scholar 

  38. J. Barnett, N. Moumen, J. Gutt, M. Gardner, C. Huffman, P. Majhi, J. J. Peterson, S. Gopalan, B. Foran, H.-J. Li, B.H. Lee, G. Bersuker, P. Zeitzoff, G.A. Brown, P. Lysaght, C.D. Young, R.W. Murto, H.R. Huff, Experimental study of etched back thermal oxide for optimization of the Si/high-k interface. in 2004 Spring Meeting of the Material Research Society, pp. 341–346, 2004

    Google Scholar 

  39. C.D. Young, Y. Zhao, D. Heh, R. Choi, B.H. Lee, G. Bersuker, Pulsed Id–Vg methodology and its application to electron-trapping characterization and defect density profiling. IEEE Trans. Electron Devices 56, 1322–1329 (2009)

    Article  Google Scholar 

  40. G. Bersuker, J. Barnett, N. Moumen, B. Foran, B. Young, P. Lysaght, J. Peterson, B.H. Lee, P.M. Zeitzoff, H.R. Huff, Interfacial layer-induced mobility degradation in high-k transistors. Jap. J. Appl. Phys. Part 1-Regular Pap. Short Notes Rev. Pap. 43, 7899–7902 (2004)

    Article  Google Scholar 

  41. G. Bersuker, P. Zeitzoff, J.H. Sim, B.H. Lee, R. Choi, G.A. Brown, C.D. Young, Mobility Evaluation in High-K Devices. in IEEE International Integrated Reliability Workshop Final Report, 2004, pp. 141–144

    Google Scholar 

  42. P.D. Kirsch, J.H. Sim, S.C. Song, S. Krishnan, J.J. Peterson, H.-J. Li, M. Quevedo-Lopez, C.D. Young, R. Choi, N. Moumen, P. Majhi, Q. Wang, J.G. Ekerdt, G. Bersuker, B.H. Lee, Mobility enhancement of high-κ gate stacks through reduced transient charging. in 35th Annual European Solid-State Device Research Conference, Grenoble, France, pp. 367–370, 2005

    Google Scholar 

  43. M.A. Negara, K. Cherkaoui, P.K. Hurley, C.D. Young, P. Majhi, W. Tsai, D. Bauza, G. Ghibaudo, Analysis of electron mobility in HfO2/TiN gate metal-oxide-semiconductor field effect transistors: The influence of HfO2 thickness, temperature, and oxide charge. J. Appl. Phys. 105, 024510 (2009)

    Article  Google Scholar 

  44. M.A. Negara, K. Cherkaoui, P. Majhi, C.D. Young, W. Tsai, D. Bauza, G. Ghibaudo, P.K. Hurley, The influence of HfO2 film thickness on the interface state density and low field mobility of n channel HfO2/TiN gate MOSFETs. Microelectron. Eng. 84, 1874–1877 (2007)

    Article  Google Scholar 

  45. C.G. Sodini, T.W. Ekstedt, J.L. Moll, Charge accumulation and mobility in thin dielectric MOS transistors. Solid State Electron. 25, 833–841 (1982)

    Article  Google Scholar 

  46. A. Kerber, E. Cartier, L. A. Ragnarsson, M. Rosmeulen, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, and G. Groeseneken, Direct measurement of the inversion charge in MOSFETs: application to mobility extraction in alternative gate dielectrics. in VLSI Technology Symposium, Kyoto, Japan, 2003, pp. Session 12A-5

    Google Scholar 

  47. J.R. Hauser, K. Ahmed, Characterization of Ultrathin oxides using electrical C–V and I–V measurements. in International Conferences on Characterization and Metrology for ULSI Technology, pp. 235–239, 1998

    Google Scholar 

  48. C.D. Young, P. Zeitzoff, G. Bersuker, R. Choi, Comparison of trap-free mobility extraction techniques for high-κ gate dielectrics. in International Workshop on Electrical Characterization and Reliability for High-κ Devices, pp. 73–81, 2004

    Google Scholar 

  49. P. Sivasubramani, P.D. Kirsch, J. Huang, C. Park, Y.N. Tan, D.C. Gilmer, C. Young, K. Freeman, M.M. Hussain, R. Harris, S.C. Song, D. Hen, R. Choi, P. Majhi, G. Bersuker, P. Lysaght, B.H. Lee, H.H. Tseng, J.S. Jur, D.J. Lichtenwalner, A.I. Kingon, R. Jammy, Aggressively scaled high-k gate dielectric with excellent performance and high temperature stability for 32 nm and beyond. in IEEE International Electron Devices Meeting, pp. 543–546, 2007

    Google Scholar 

  50. J. Huang, P.D. Kirsch, D. Heh, C.Y. Kang, G. Bersuker, M. Hussain, P. Majhi, P. Sivasubramani, D.C. Gilmer, N. Goel, M.A. Quevedo-Lopez, C. Young, C. S. Park, C. Park, P.Y. Hung, J. Price, H.R. Harris, B.H. Lee, H.H. Tseng, R. Jammy, Device and reliability improvement of HfSiON+ LaOx/metal gate stacks for 22 nm node application. in Electron Devices Meeting, 2008. IEDM 2008. IEEE International, pp. 1–4, 8164–2284, 2008

    Google Scholar 

  51. M. Inoue, Y. Satoh, M. Kadoshima, S. Sakashita, T. Kawahara, M. Anma, R. Nakagawa, H. Umeda, S. Matsuyama, H. Fujimoto, H. Miyatake, Impact of area scaling on threshold voltage lowering in La-containing high-k/metal gate NMOSFETs fabricated on (100) and (110)Si. in VLSI Technology, 2009 Symposium on, pp. 40–41, 2009

    Google Scholar 

  52. P.D. Kirsch, P. Sivasubramani, J. Huang, C.D. Young, M.A. Quevedo-Lopez, H.C. Wen, H. Alshareef, K. Choi, C.S. Park, K. Freeman, M.M. Hussain, G. Bersuker, H.R. Harris, P. Majhi, R. Choi, P. Lysaght, B.H. Lee, H.H. Tseng, R. Jammy, T.S. Boscke, D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning. in Applied Physics Letters, 2008

    Google Scholar 

  53. K. Okamoto, M. Adachi, K. Kakushima, P. Ahmet, N. Sugii, K. Tsutsui, T. Hattori, H. Iwai, Effective control of flat-band voltage in HfO2 gate dielectric with La2O3 incorporation. in Solid State Device Research Conference, 2007. ESSDERC 2007. 37th European, pp. 199–202, 1930–8876, 2007

    Google Scholar 

  54. C.S. Park, J.W. Yang, M.M. Hussain, C.Y. Kang, J. Huang, P. Sivasubramani, C. Park, K. Tateiwa, Y. Harada, J. Barnett, C. Melvin, G. Bersuker, P. D. Kirsch, B.H. Lee, H.H. Tseng, R. Jammy, La-doped metal/high-K nMOSFET for sub-32 nm HP and LSTP application. in VLSI Technology, Systems, and Applications, 2009. VLSI-TSA ‘09. International Symposium on, pp. 59–60, 1930–885X, 2009

    Google Scholar 

  55. P. Sivasubramani, P.D. Kirsch, J. Huang, C. Park, Y.N. Tan, D.C. Gilmer, C. Young, K. Freeman, M.M. Hussain, R. Harris, S.C. Song, D. Hen, R. Choi, P. Majhi, G. Bersuker, P. Lysaght, B.H. Lee, H.H. Tseng, J.S. Jur, D.J. Lichtenwalner, A.I. Kingon, R. Jammy, Aggressively scaled high-k gate dielectric with excellent performance and high temperature stability for 32 nm and beyond. in Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 543–546, 2007

    Google Scholar 

  56. K. Tatsumura, T. Ishihara, S. Inumiya, K. Nakajima, A. Kaneko, M. Goto, S. Kawanaka, A. Kinoshita, Intrinsic correlation between mobility reduction and Vt shift due to interface dipole modulation in HfSiON/SiO2 stack by La or Al addition. in IEEE International Electron Devices Meeting, pp. 1–4, 2008

    Google Scholar 

  57. H.C. Wen, S.C. Song, C.S. Park, C. Burham, G. Bersuker, K. Choi, M.A. Quevedo-Lopez, B.S. Ju, H.N. Alshareef, H. Niimi, H.B. Park, P.S. Lysaght, P. Majhi, B.H. Lee, R. Jammy, Gate first metal-Aluminum-Nitride PMOS electrodes for 32 nm low standby power applications. in VLSI Technology, 2007 IEEE Symposium on, pp. 160–161, 2007

    Google Scholar 

  58. J. Huang, D. Heh, P. Sivasubramani, P.D. Kirsch, G. Bersuker, D.C. Gilmer, M.A. Quevedo-Lopez, M.M. Hussain, P. Majhi, P. Lysaght, H. Park, N. Goel, C. Young, C.S. Park, C. Park, M. Cruz, V. Diaz, P.Y. Hung, J. Price, H.H. Tseng, R. Jammy, Gate first high-k/metal gate stacks with zero SiOx interface achieving EOT = 0.59 nm for 16 nm application. in VLSI Technology, 2009 Symposium on, pp. 34–35, 2009

    Google Scholar 

  59. Y. Min, V.W.C. Chan, K.K. Chan, L. Shi, D.M. Fried, J.H. Stathis, A.I. Chou, E. Gusev, J.A. Ott, L.E. Burns, M.V. Fischetti, I. Meikei, Hybrid-orientation technology (HOT): opportunities and challenges. Electron Devices, IEEE Trans. 53, 965–978 (2006)

    Article  Google Scholar 

  60. S.A. Krishnan, H. Rusty Harris, P.D. Kirsch, C. Krug, C. Quevedo-Lopez, C. Young, L. Byoung Hun, R. Choi, N. Chowdhury, S. Suthram, S. Thompson, G. Bersuker, R. Jammy, High performing pMOSFETs on Si(110) for application to hybrid orientation technologies—comparison of HfO2 and HfSiON. in Electron Devices Meeting, 2006. IEDM ‘06. International, pp. 265–268, 2006

    Google Scholar 

  61. F. Stern, Quantum properties of surface space-charge layers. CRC: Crit. Rev. Solid State Sci. 4, 499–514 (1973)

    Article  Google Scholar 

  62. G. Bersuker, C.S. Park, J. Barnett, P.S. Lysaght, P.D. Kirsch, C.D. Young, R. Choi, B.H. Lee, B. Foran, K.V. Benthem, S.J. Pennycook, P.M. Lenahan, J.T. Ryan, The effect of interfacial layer properties on the performance of Hf-based gate stack devices. J. Appl. Phys. 100, 094108 (2006)

    Article  Google Scholar 

  63. H.R. Harris, S.E. Thompson, S. Krishnan, P. Kirsch, P. Majhi, C.E. Smith, M.M. Hussain, G. Sun, H. Adhikari, S. Suthram, B.H. Lee, H.H. Tseng, R. Jammy, Flexible, simplified CMOS on Si(110) with metal gate/high k for HP and LSTP. in IEEE International Electron Devices Meeting, pp. 57–60, 2007

    Google Scholar 

  64. V.V. Iyengar, A. Kottantharayil, F.M. Tranjan, M. Jurczak, K. De Meyer, Extraction of the top and sidewall mobility in FinFETs and the impact of fin-patterning processes and gate dielectrics on mobility. IEEE Trans. Electron Devices 54, 1177–1184 (2007)

    Article  Google Scholar 

  65. S.E. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffman, C.H. Jan, C. Kenyon, J. Klaus, K. Kuhn, M. Zhiyong, B. McIntyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, N. Phi, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, Y. El-Mansy, A 90-nm logic technology featuring strained-silicon. Electron Devices IEEE Trans. 51, 1790–1797 (2004)

    Article  Google Scholar 

  66. S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N. Ikezawa, T. Suzuki, T. Saitoh, T. Horiuchi, Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design. in Electron Devices Meeting, 2000. IEDM Technical Digest. International, pp. 247–250, 2000

    Google Scholar 

  67. M.M. Hussain, K. Rader, C. Smith, C. Young, S. Suthram, C. Park, M. Cruz, P.D. Kirsch, R. Jammy, Additive process induced strain (APIS) technology for Lg = 30 nm band-edge high-k/metal gate nMOSFET. in International Conference on Solid State Devices and Materials, 2008

    Google Scholar 

  68. S.-H. Lee, P. Majhi, J. Oh, B. Sassman, C. Young, A. Bowonder, W.-Y. Loh, K.-J. Choi, B.-J. Cho, H.-D. Lee, P. Kirsch, H.R. Harris, W. Tsai, S. Datta, T. Hsing-Huang, S.K. Banerjee, R. Jammy, Demonstration of Lg ~ 55 nm pMOSFETs With Si/Si0.25Ge0.75/Si channels, high Ion/Ioff (>5 × 104), and controlled short channel effects (SCEs). Electron Device Lett. IEEE 29, 1017–1020 (2008)

    Article  Google Scholar 

  69. J. Oh, S.-H. Lee, K.S. Min, J. Huang, B.G. Min, B. Sassman, K. Jeon, W.-Y. Loh, J. Barnett, I. Ok, C.Y. Kang, C. Smith, D.-H. Ko, P. Kirsch, R. Jammy, SiGe on (110) channel orientation with mobility boosters: Surface orientation, channel directions, and uniaxial strain. in Symposium on VLSI Technology, pp. 39–40, 2010

    Google Scholar 

  70. S. Datta, III–V field-effect transistors for low power digital logic applications. Microelectron. Eng. 84, 2133–2137 (2007)

    Article  Google Scholar 

  71. R.J. W. Hill, X. Li, H. Zhou, D.S. Macintyre, S. Thoms, M.C. Holland, P. Longo, D.A.J. Moran, A.J. Craven, C.R. Stanley, A. Asenov, R. Droopad, M. Passlack, I.G. Thayne, Deep sub-micron and self-aligned flatband III–V MOSFETs. in 2009 Device Research Conference, pp. 251–252, 2009

    Google Scholar 

  72. J. Huang, N. Goel, H. Zhao, C.Y. Kang, K.S. Min, G. Bersuker, S. Oktyabrsky, C.K. Gaspe, M.B. Santos, P. Majhi, P.D. Kirsch, H.H. Tseng, J.C. Lee, R. Jammy, InGaAs MOSFET performance and reliability improvement by simultaneous reduction of oxide and interface charge in ALD (La)AlOx/ZrO2 gate stack. in 2009 IEEE International Electron Devices Meeting, pp. 1–4, 2009

    Google Scholar 

  73. M. Passlack, P. Zurcher, K. Rajagopalan, R. Droopad, J. Abrokwah, M. Tutt, Y.B. Park, E. Johnson, O. Hartin, A. Zlotnicka, P. Fejes, R.J.W. Hill, D.A.J. Moran, X. Li, H. Zhou, D. Macintyre, S. Thorns, A. Asenov, K. Kalna, I.G. Thayne, High mobility III–V MOSFETs for RF and digital applications. in 2007 IEEE International Electron Devices Meeting, pp. 621–624, 2007

    Google Scholar 

  74. H. Hasegawa, S. Kodama, K. Koyanagi, and M. Akazawa, Control of structure and properties of compound semiconductor interfaces by Si interface control layer. in Indium Phosphide and Related Materials, 1993. Conference Proceedings., Fifth International Conference on, pp. 289–292, 1993

    Google Scholar 

  75. C.L. Hinkle, M. Milojevic, B. Brennan, A.M. Sonnet, F.S. Aguirre-Tostado, G.J. Hughes, E.M. Vogel, R.M. Wallace, Detection of Ga suboxides and their impact on III–V passivation and Fermi-level pinning. Appl. Phys. Lett. 94, 162101–162103 (2009)

    Article  Google Scholar 

  76. C.L. Hinkle, A.M. Sonnet, R.A. Chapman, E.M. Vogel, Extraction of the Effective Mobility of In0.53 Ga0.47 as MOSFETs. Electron Device Lett. IEEE 30, 316–318 (2009)

    Article  Google Scholar 

  77. W. Walukiewicz, H.E. Ruda, J. Lagowski, H.C. Gatos, Electron mobility in modulation-doped heterostructures. Phys. Rev. B 30, 4571 (1984)

    Article  Google Scholar 

  78. M.V. Fischetti, S. Jin, The effects of defects on electron transport in nanometer-scale electronic devices: Impurities and interface roughness, in Defects in Microelectronic Materials and Devices, ed. by S. Pantelides, D. Fleetwood, R. Schrimpf (CRC Press, Boca Raton, 2008)

    Google Scholar 

  79. F. Gamiz, M.V. Fischetti, Remote Coulomb scattering in metal-oxide-semiconductor field effect transistors: Screening by electrons in the gate. Appl. Phys. Lett. 83, 4848–4850 (2003)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chadwin Young .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Young, C. (2013). Channel Mobility. In: Kar, S. (eds) High Permittivity Gate Dielectric Materials. Springer Series in Advanced Microelectronics, vol 43. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36535-5_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36535-5_7

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36534-8

  • Online ISBN: 978-3-642-36535-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics